1. 程式人生 > 資訊 >魅族 16th/16T/16s Pro 系列的 Flyme 9 將在 4 月底開放內測

魅族 16th/16T/16s Pro 系列的 Flyme 9 將在 4 月底開放內測

AMD新推出的CPU憑藉小晶片的設計以及先進的製程獲得了巨大成功,Intel也釋出了採用3D封裝的CPU。不過,目前小晶片只為少數公司提供了競爭優勢,這一為摩爾定律“續命”的技術想要普及,面臨技術方面的挑戰,包括標準、良率、功耗、散熱、工具、測試等挑戰,同時還面臨著生態和製造的挑戰。

沒有人能準確判斷小晶片普及的時間,但可以肯定的是這將是一個緩慢的過程。

小晶片(Chiplets,也譯為芯粒)是一項引人注目的技術,但到目前為止,採用小晶片技術的產品不多,參與者也不多。

在繼續研發新工藝節點的同時,出於物理極限或成本原因,電晶體的進一步微縮即將結束。許多行業對電晶體數量的需求高於最新工藝節點所能提供的數量。同時,由於不能在不影響良品率的前提下增大裸片,3nm晶片的開發成本只有少數人可以負擔

對於晶片需求量沒有上百萬的細分行業,先進節點的成本難以負擔,而小晶片提供了合理的解決方案。

晶片封裝並不新鮮。Cadence IC封裝和跨平臺解決方案產品主管John Park說:“人們希望簡化晶片設計,或者使其比PCB小,或者消耗更少功耗。將單個裸片封裝,然後放置在單個襯底上,通常是層壓材料,有時是陶瓷。這樣可以構建體積更小,功耗更低的PCB,我們稱其為多晶片模組(MCM)或系統級封裝(SiP),這種技術自80年代末就被採用。”

行業中經常使用一些術語,這些術語常常使問題變得混亂。“ SiP可以簡單地定義為將兩個或多個ASIC元件整合到一個封裝中。”西門子EDA高階封裝解決方案總監Tony Mastroianni說,“實現SiP的方法很多,包括MCM、2.5D封裝和3D封裝技術。MCM的方法整合並互連在封裝基板上的多個標準ASIC元件。2.5D封裝的方法整合矽或有機中介層上的ASIC元件,包括通過中介層在兩個或多個裸片之間的裸片到裸片連線。3D封裝的方法允許ASIC元件在Z軸維度上堆疊和互連。”

那麼,這是偏離摩爾定律還是對摩爾定律的擴充套件?英特爾可程式設計解決方案事業部首席技術官Jose Alvarez(何塞·阿爾瓦雷斯)說:“即使在今天,我們仍在遵循戈登摩爾的建議。1965年,戈登摩爾寫了一篇非常短的論文,共四頁,內容正是如今的摩爾定律。他在第三頁上寫道:‘事實證明,使用較小的功能模組(分別封裝和互連)構建大型系統會更經濟。’我們今天擁有的先進封裝技術,因此,從某種意義上講,這是戈登要求我們做的事情的延續。”

▲圖1:從MCM / SiP遷移到小晶片。資料來源:Cadence

小晶片的不同之處在於,它們是為整合在同一個封裝內而專門設計。DARPA通過CHIPS專案開始了這一計劃,因為國防工業的晶片需求總量較小,無法負擔5奈米設計的一次性工程費用。他們關於小晶片的概念是物理IP模組,封裝在一起。

CHIPS聯盟執行總監Rob Mains表示:“ DARPA選擇了正確的方向,這對於全球範圍內的設計團隊都有意義。大家需要了解收益,行業需要提供一定水平的技術,以確保小晶片產生有效的結果。”

Ansys產品營銷總監Marc Swinnen表示同意。“這是一個合理的技術想法,有些組織正在努力實現這一目標。像ODSA這樣的小組擁有多個小組委員會,致力於使小晶片達到標準化程度,使商業市場能夠參與。”

關鍵是標準。“這個一個不斷演進的生態系統。”Synopsys高速SerDes的高階產品經理Manmeet Walia說:“這個生態系統十分分散,這一概念最初被提出是因為成本問題,由DARPA提出,但這並不是市場發展的動力。其中的一個關鍵是物理原因,裸片已經足夠大。想要進一步提升計算能力,需要更多裸片。”

細分市場的驅動力都與計算相關。Synopsys產品營銷總監肯尼斯·拉森(Kenneth Larsen)說:“關鍵的推動力實際上是高效能運算。這就是基於小晶片的設計正在增長的地方。不過,今天的小晶片並沒有標準。”

看到晶片你就可以發現這種方式已經成功。“我看了一下英特爾新晶片的圖,結果發現有八個可以稱為小晶片的計算區塊,中間還有一些包含快取和互連區塊的條帶。” Arteris IP系統架構師邁克爾·弗蘭克(Michael Frank)說 “它們都在矽襯底上。但是這種範例必須建立在標準之上,涵蓋電氣特性、通訊、物理屬性等。不可能為每個公司構建不同的小晶片。無論如何,它仍然是晶片,必須按照常規步驟進行流片。”

如果上述問題可以解決,該技術將適用於許多其他領域。Synopsys的Larsen說:“某些設計的某些部分可能適用較舊的節點,而某些則適用較新的節點。”小晶片的部分價值將來自能夠以最佳技術設計IP。或者,可以在保持介面不變來提升PPA,或者通過改變部分設計降低整個產品的成本,同時將另一部分遷移到更新的節點上,從而提高計算密度。

隨著連線裝置的普及,5G晶片可能成為推動者。CHIPS Alliance的主管說:“我相信這將為較小的公司(尤其是物聯網裝置)創造機會。如果是一家初創公司,可以將創新技術與某種型別的5G小晶片相結合,並將它們封裝在一起。”

小晶片的行業現狀

小晶片行業目前的情況如何?Synopsys的Walia說:“在大多數情況下,擁有小晶片的公司不在乎行業標準。Nvidia有他們的NVLink,AMD有他們的Infinity結構,高通有Qlink,英特爾有AIB。他們都提出了自己的專有介面標準。隨著生態系統的不斷髮展,對標準的需求也不斷提高。”

當然,標準也不是全部。Cadence的Park說:“最大的問題在於小晶片的商業化。我們已經有了硬核和軟核IP,小晶片是第三種選擇。晶片設計者將能夠購買該硬核IP並將其放在中介層上,層壓或堆疊,或任何操作。”

“封裝技術與此獨立。小晶片的可行性更多地與邏輯分割槽有關。缺少的部分是提供IP的公司。他們會轉變為這種業務模型,並將構建的東西並存儲在倉庫中嗎?答案可能是否定的。誰將提供倉庫來儲存所有這些小晶片,誰將製造它們,誰將要分發它們,小晶片的商業模型的概念尚未建立,這是一個值得討論的成本模型。”

也許小晶片還太過遙遠。“作為IP供應商,我們準備出售用單獨的晶片介面PHY IP。可以預見,我們將來會出售完整的小晶片晶片。可能是一個PCIe小晶片,一側具有PCIe SerDes,另一側則是裸片對裸片(D2D)的PHY,也有可能有一個控制器。” Cadence IP集團產品營銷總監Wendy Wu說.

“今天,我們將這些IP作為單獨的產品使用,但是我們一直在尋求將它們整合在一起,作為小晶片的統一設計。現在還不能製造這樣的晶片,因為如今都是製造標準化的產品。如果想要有製造小晶片的供應鏈,需要這個市場足夠大。”

小晶片的挑戰可以分開來看。“小晶片設計標準化的挑戰可以總結為功能、元件封裝、和籤核。”Arm研究員兼技術總監Rob Aitken說。根據Aitken的細分,如下:

  • 功能性小晶片與整個系統架構的關係很重要。Aitken說。“不同的小晶片是否可以替代(就像它們在記憶體中一樣),或者它們執行相似的任務,但是具有不同的軟體介面、時鐘頻率、電源、散熱等?”無論哪種情況,明確的規格、模型和驗證對於成功開發小晶片和包括它們的3D封裝元件都至關重要。

  • 元件封裝 HBM標準規定了引腳和功能的特定佈置。標準化的邏輯小晶片將需要相同的東西,通過與連線點關聯的協議從物理層定義。硬核IP模型面臨的挑戰(長寬比、引腳位置、測試等)在小晶片中也類似。即使小晶片允許跨區域連線,beachfront”(bits per second per millimeter along the die edge)對於介面效能仍然很重要,因為小晶片的佈局方式很可能會被確定。儘管支援3D封裝的協議和引腳標準,但還沒有完整的邏輯晶片封裝標準。

  • 籤核儘管已經進行,並且將繼續進行許多工作來減少小晶片在流片過程中的複雜性,但尚未達成普遍認可的解決方案,包括如何最好地劃分功能和成品良率。以及不同供應商之間的小晶片整合在一起的功耗、散熱等問題。

解決其中一些問題的唯一方法設計小晶片,並找出具體問題在哪裡。英特爾的阿爾瓦雷斯(Alvarez)說:“小晶片目前在商業上是可行的,即使晶片是來不不同的供應商。AIB介面的標準化對於開啟這個新興的生態系統至關重要。它尚未發展起來,但正朝著正確的方向發展。”

▲圖2:基於AIB的小晶片的多樣化生態系統。資料來源:英特爾

Alvarez補充說:“這個想法實際上是比當今製造晶片的方法更加敏捷和靈活的方式,這也是DARPA對此感興趣的原因。如今既有正在開發中,也有正在流片,還有正在生產,也有已經在使用的小晶片。但它們採用不同的技術,來自不同的代工廠,因此對於這個生態系統,我們真正擁有的想法是:與技術和代工廠無關。”

小晶片何時普及?

新生態系統的發展提出了雞和雞蛋的問題。首先是,是設計者將不同的IP整合到設計中,還是由系統公司來進行?Park說:“這將是一個緩慢的發展過程。隨著摩爾定律定接近物理極限,人們什麼時候會完全放棄單片SoC的概念而轉向多晶片設計?”

也許中間步驟是合乎邏輯的。Ansys的Swinnen說:“沒有人能確切知道,一種可靠的情況是,最初的小晶片系統將使用標準裸片構建。嚴格來說,它們不會被視為小晶片,但它們的構建方式就像我們所說的小晶片一樣,裸晶片通過緊密的連線層直接連線。如果有這樣的系統,並且它變得主流,那麼就可以看到它被重新設計為小晶片。”

“這種設計減少了I/O驅動,並增加了互聯頻寬。它將是一個混合系統,因此其它晶片仍是標準版本,但上面至少有一個小晶片。”

為了發展生態,市場必須足夠大。Wu說:“諸如HBM記憶體這樣有足夠大的市場,並且需求是統一的。”人們正在談論完整的封裝光學器件。光學小晶片可能有一個應用,一個標準介面的XSR試圖定義光介面。那是一個有很大市場的應用。它肯定會演變成開放市場的商業模式。”

結論

通過專有系統,證明了小晶片的可行性和價值。但接下來的問題更棘手,因為需要解決技術和商業問題。從目前的情況看,產業界、政府和標準制定機構都將迎接挑戰,因為這成為將摩爾定律擴充套件到未來的方式。

實際上,整個行業都需要小晶片,即使今天它只為少數人提供了競爭優勢。

原文連結:https://semiengineering.com/chiplets-for-the-masses/