1. 程式人生 > 其它 >net9 5分頻奇數分頻時鐘

net9 5分頻奇數分頻時鐘

因為是5分頻  所以pcnt=2  為1  pcnt=4 為0

如果是7分頻  則 pcnt=3 為1  pcnt=6 為0

還想著用怎麼pos_flag 作上升沿   neg_flag  作下降沿   沒想到最後運用了或運算 。。。。。

always@(posedge    clk)
begin
    if (rst) begin
        pos_flag<=0;
    end
    else if((pcnt==3)&(pcnt==4)) begin
        pos_flag<=1;
    end
    else begin
        pos_flag
<=0; end end
module    fenpin_5(
input    wire    clk,
input    wire    rst,
output    wire        clk5);

reg    [2:0]    pcnt;
reg    [2:0]    ncnt;
reg    pos_flag;
reg    neg_flag;

always@(posedge    clk)
begin
    if(rst)
        pcnt<=0;
    else if(pcnt==4)    
            pcnt<=0;                
    
else pcnt<=pcnt+1'b1; end always @(negedge clk) begin if(rst) ncnt<=0; else if(ncnt==4) ncnt<=0; else ncnt<=ncnt+1'b1; end always@(posedge clk) begin if (rst) begin pos_flag<=0; end else if(pcnt==2
) begin pos_flag<=1; end else if(pcnt==4) begin pos_flag<=0; end end always@(negedge clk) begin if (rst) begin pos_flag<=0; end else if(ncnt==2) begin neg_flag<=1; end else if (ncnt==4) begin neg_flag<=0; end end assign clk5 =pos_flag|neg_flag ; endmodule
`timescale 1ns/1ns
module    tb_fenpin_5();
reg    clk,rst;
wire clk5;

initial    begin
    clk=0;
    rst=1;
    #100    rst=0;

end

always #10    clk=~clk;

fenpin_5 tb_fenpin_5_inst(
    .clk(clk),
    .rst(rst),
    .clk5(clk5));
endmodule