1. 程式人生 > 其它 >使用notepad++呼叫vlog.exe程式編譯verilog程式碼

使用notepad++呼叫vlog.exe程式編譯verilog程式碼

經常使用notepad++的編輯器編寫verilog程式碼,經常呼叫modelsim的進行基本編碼輸入檢查

。但是每次都手動開啟modelsim軟體既費時間又由於啟動modelsim GUI佔用系統比較大的記憶體,

於是博主就經過研究notepad++工具,找到了一個直接在notepad++ 客戶端執行vlog.exe來對verilog程式碼進行編譯的辦法

。開啟一個verilog程式碼檔案後,按F5,開啟“執行”對話方塊,

在文字框輸入cmd /k cd "$(CURRENT_DIRECTORY)" &D:/questasim_10.0a/win32/vlog.exe "$(FULL_CURRENT_PATH)" & ECHO. & PAUSE & EXIT,然後點選“儲存”,填寫個名字,比如“Run with verilog”,配置下面的快捷鍵,點OK即可。

之後執行verilog檔案只要按配置的快捷鍵或者在執行選單上點“Run with verilog”即可。

執行之後 系統會預設的在notepad++的安裝路徑中新建一個work資料夾作為日後程式碼編譯的work庫檔案,如果不能自己新建,可以先開啟modelsim,隨便使用一個verilog程式碼編譯一個模擬庫檔案work資料夾,放到notepad++目錄下即可執行。