1. 程式人生 > 其它 >FPGA跨時鐘域處理方法

FPGA跨時鐘域處理方法

跨時鐘域的訊號分為兩類,一類是單位元的訊號,一類是多位元的訊號。這兩類訊號無論是快時鐘域到慢時鐘域還是慢時鐘域到快時鐘域,無論是流資料還是控制訊號,都可以使用非同步FIFO進行同步。因此下文分類的不同情景,每一種情景都可以使用非同步FIFO進行同步,後文就不作介紹。但需要注意的是,快時鐘域到慢時鐘域的同步,在使用非同步FIFO時,快時鐘域平均流量是不能大於慢時鐘域的處理速度的,否則資料會丟失,這其實與是否使用FIFO來進行同步無關。因為FIFO的作用本就是在某一段持續時間內,傳送方傳送的資料大於接收方的處理能力時,暫時作為快取用的。若傳送方的平均流量大於接受方的處理能力,那麼除非FIFO無限大,否則隨著時間的增加,就會丟失資料。

1、單位元訊號

大部分文章介紹單位元訊號的跨時鐘域處理時,都是預設該單位元訊號為控制訊號或者說變化相對較慢的單位元脈衝訊號。但實際上單位元訊號也存在是流資料的可能,可能實際工程上單位元的流資料訊號較少,但是起碼理論上存在這種可能,如果不加以區分討論,初學者往往容易混淆。

1.1 單位元脈衝訊號

1.1.1 慢時鐘域到快時鐘域

慢時鐘域的單位元脈衝訊號同步至快時鐘域,可以採用多級暫存器的方法,也就是將單位元脈衝訊號在快時鐘域打多拍。一般情況下只需要採用兩級暫存器即可,因為更多級的暫存器對效能提升並不明顯。前提條件要求從慢時鐘域到快時鐘域,原因是隻有慢時鐘域到快時鐘域,才能保證慢時鐘域的脈衝訊號能被快時鐘域取樣到。

需要注意的是,多級暫存器的主要作用是避免亞穩態的傳播(不能完全消除亞穩態,但可以使亞穩態出現的概率大大降低),並不能保證資料穩定後,是正確的值,而是隨機的0或1。但是由於慢時鐘域的脈衝訊號持續時間大於快時鐘域的一個週期,因此在快時鐘域的下一個上升沿到來時,慢時鐘域的脈衝訊號仍然持續,此時快時鐘域可以採到正確的值。也就是說,出現亞穩態時,快時鐘域實際上需要已經對慢時鐘域的訊號進行第二次取樣了。顯然地,第二次取樣時,需要滿足建立時間與保持時間,否則可能會再次出現亞穩態。因此可以看出快時鐘域與慢時鐘域的關係並不是任意的,兩者並不能接近到無法滿足第二次取樣的建立時間和保持時間。快時鐘域域慢時鐘域需要滿足下面的條件:Tslow>Tfast+Thold+Tsetup,其中Tslow為慢時鐘域的時鐘週期,Tfast為快時鐘域的時鐘週期,Thold與Tsetup分別為快時鐘域暫存器的保持時間和建立時間。通過上面的討論我們可以發現,當使用多級暫存器時,如果出現了亞穩態,快時鐘域 能夠 採到慢時鐘域訊號所需的時間比沒有出現亞穩態時可能會多一個週期。如果有彼此關聯的兩個多位元訊號,比如說地址訊號,它們從慢時鐘域同步至快時鐘域時,可能到達快時鐘域的時間時不一樣的,那麼得到的地址就是錯誤的,這就是多位元訊號即使是從慢時鐘域到快時鐘域,也不能夠使用多級暫存器同步的原因。但如果多位元訊號彼此無關,從慢時鐘域到快時鐘域時,是可以使用多級暫存器同步的。

程式碼如下:

  1. module syn(
  2. input rsta_n,
  3. input clka,
  4. input dataa,
  5. input rstb_n,
  6. input clkb,
  7. output datab
  8. );
  9. reg syn1;
  10. reg syn2;
  11. always@(posedge clkb or negedge rstb_n) begin
  12. if(!rstb_n) begin
  13. syn1<=1'b0;
  14. syn2<=1'b0;
  15. end
  16. else begin
  17. syn1<=level;
  18. syn2<=syn1;
  19. end
  20. end
  21. assign datab=syn2;
  22. endmodule

2、快時鐘域到慢時鐘域

(1)使用握手訊號

快時鐘但慢時鐘域的單位元訊號同步可以使用握手訊號。握手訊號的使用相對來說耗時較長,如果快時鐘域的訊號變化較快,是無法使用握手訊號來進行同步的,否則慢時鐘域可能會漏採快時鐘域的訊號。(下圖輸出的不是脈衝訊號而是電平訊號,與下文的程式碼有點區別)

verilog程式碼如下:

  1. module syn(
  2. input clka,
  3. input rsta_n,
  4. input bit_in,
  5. input clkb,
  6. input rstb_n,
  7. output bit_out
  8. );
  9. reg req;
  10. reg req_f;
  11. reg req_ff;
  12. reg req_fff;
  13. wire ack;
  14. reg ack_f;
  15. reg ack_ff;
  16. //使用req訊號對a時鐘域資料進行保持
  17. always@(posedge clka or negedge rsta_n) begin
  18. if(!rsta_n)
  19. req<=1'b0;
  20. else if(ack_ff)//ack訊號為高時,不接收新的資料
  21. req<= 1'b0;
  22. else if(bit_in)
  23. req<=1'b1;
  24. else
  25. req<=req;
  26. end
  27. //將a時鐘域的req訊號同步至b時鐘域
  28. always@(posedge clkb or negedge rstb_n) begin
  29. if(!rstb_n) begin
  30. req_f <=1'b0;
  31. req_ff<=1'b0;
  32. end
  33. else begin
  34. req_f <=req;
  35. req_ff<=req_f;
  36. end
  37. end
  38. //在b時鐘域產生單個數據脈衝
  39. always@(posedge clkb or negedge rstb_n) begin
  40. if(!rstb_n)
  41. req_fff<=1'b0;
  42. else
  43. req_fff<=req_ff;
  44. end
  45. assign bit_out=~req_fff&req_ff;
  46. //將b時鐘域的ack訊號同步至a時鐘域
  47. assign ack=req_ff;
  48. always@(posedge clka or negedge rsta_n) begin
  49. if(!rsta_n) begin
  50. ack_f <= 1'b0;
  51. ack_ff <= 1'b0;
  52. end
  53. else begin
  54. ack_f <= ack;
  55. ack_ff <= ack_f;
  56. end
  57. end
  58. endmodule

testbench:

  1. `timescale 1ns / 1ps
  2. module tb(
  3. );
  4. reg clka,clkb;
  5. reg bit_in;
  6. reg rsta_n,rstb_n;
  7. wire bit_out;
  8. syn test(
  9. .clka(clka),
  10. .rsta_n(rsta_n),
  11. .bit_in(bit_in),
  12. .clkb(clkb),
  13. .rstb_n(rstb_n),
  14. .bit_out(bit_out)
  15. );
  16. initial begin
  17. clka=1'b0;
  18. clkb=1'b1;
  19. rsta_n=1'b0;
  20. rstb_n=1'b0;
  21. bit_in=1'b0;
  22. #28
  23. rsta_n=1'b1;
  24. rstb_n=1'b1;
  25. end
  26. always #2 clka=~clka;
  27. always #7 clkb=~clkb;
  28. initial begin
  29. #98
  30. bit_in =1'b1;
  31. #4
  32. bit_in =1'b0;
  33. #60
  34. bit_in =1'b1;
  35. #4
  36. bit_in =1'b0;
  37. #8
  38. bit_in =1'b1;
  39. #4
  40. bit_in =1'b0;
  41. #300
  42. bit_in =1'b1;
  43. end
  44. endmodule

模擬時序圖:

從模擬圖可以看出,對於快時鐘域的兩個脈衝離得比較近的話,慢時鐘域是會漏採的,使用握手訊號時,對此需要注意。

(2)T觸發器 + 多級觸發器

對於單位元的脈衝訊號,我們也可以使用T觸發器 + 多級觸發器的方法來進行同步,這種方法相較於使用握手訊號所需時間較短,但沒有ack訊號,無法判斷接受方是否接受到了脈衝訊號。因此使用時一定要保證 滿足使用條件。

T觸發器的真值表達式為 Qn+1 =T⊕Qn。總結來說的話,就是每來一個週期的高電平,輸出就翻轉一次。我們利用這個特性,可以將單位元的訊號展寬。就是說在兩個脈衝之間的訊號是保持不變的,不管保持的是0還是1並不重要,我們只要知道脈衝到來之時,T觸發器的輸出會翻轉就足夠了。只要訊號發生了變化,我們在進行同步的時鐘域多打一拍,並與前一拍的訊號進行異或就可以得到一個週期的脈衝,雖然b時鐘域採到的並不是脈衝,但是異或之後得到的就是一個脈衝。

這種方法的本質實際上是將訊號展寬,只不過展寬的訊號可能是0也可能是1。但很顯然,a時鐘域的兩個脈衝間隔要足夠大,因為兩個脈衝的訊號的間隔就是a時鐘域的訊號持續的時間。如果這個時間太短,在b時鐘域是無法採到的。兩個脈衝之間的間隔要大於Tb+Thold+Tsetup,其中Tb為b時鐘域的時鐘週期,Thold與Tsetup分別為b時鐘域暫存器的保持時間和建立時間。

verilog程式碼如下:

  1. module syn(
  2. input rsta_n,
  3. input clka,
  4. input plusea,
  5. input rstb_n,
  6. input clkb,
  7. output pluseb
  8. );
  9. reg level;
  10. reg syn1;
  11. reg syn2;
  12. reg syn2_f;
  13. //將a時鐘域的脈衝訊號轉為電平訊號
  14. always@(posedge clka or negedge rsta_n) begin
  15. if(!rsta_n)
  16. level<=1'b0;
  17. else if(plusea)
  18. level<=~level;
  19. else
  20. level<=level;
  21. end
  22. //用兩級暫存器同步電平訊號
  23. always@(posedge clkb or negedge rstb_n) begin
  24. if(!rstb_n) begin
  25. syn1<=1'b0;
  26. syn2<=1'b0;
  27. end
  28. else begin
  29. syn1<=level;
  30. syn2<=syn1;
  31. end
  32. end
  33. //在b時鐘域將同步過來的電平訊號轉為脈衝訊號
  34. always@(posedge clkb or negedge rstb_n) begin
  35. if(!rstb_n)
  36. syn2_f<=1'b0;
  37. else
  38. syn2_f<=syn2;
  39. end
  40. assign pluseb=syn2^syn2_f;
  41. endmodule

1.2單位元流資料

對於單位元流資料而言,無論是快時鐘域到慢時鐘域,還是慢時鐘域到快時鐘域,如果不使用RAM或者FIFO這類儲存空間,想直接將資料通過流的方式進行同步,是無法做到的。這是因為兩個時鐘域的時鐘週期長度不一樣,隨著時間的積累,一定會發生資料的錯位。因此若想同步跨時鐘域的流資料,必須要藉助儲存器空間,否則是無法同步流資料的。需要注意的是,快時鐘域到慢時鐘域的流資料,是不能一直持續的,否則就需要無限大的儲存空間,這在文章開頭已經提到了。

2、多位元訊號

2.1 多位元單

(1)方法一:DUUX實現CDC

控制訊號tx_sel經兩級暫存器同步後作為多路選擇器的sel訊號,cdc_d為傳送時鐘域多位元資料。tx_sel訊號與cdc_d訊號都需要持續一定的時間以保證能被接收時鐘域採到。

2.2 多位元流資料

分析方法同但位元的流資料。

---------------------------------------------------------------------------------------------------------------------------------

最後需要說明的一點是,除了非同步FIFO,當一個時鐘域的訊號送入另一個時鐘域時,都需要另一個時鐘域使用兩級暫存器進行打拍,這是為了避免出現亞穩態的傳播。但需要注意的是,一個時鐘域的訊號送入另一個時鐘域時,這個訊號必須時暫存器輸出的。這是因為若不是暫存器輸出,輸入另一個時鐘域時,就可能產生毛刺,會加大出現亞穩態的概率。雖然說即使出現亞穩態,多級暫存器同步後大概率資料也是會穩定下來的,但是發生故障的概率會隨著亞穩態出現次數的增加而增加,系統的穩定性會受到影響。更為重要的是,毛刺產生的亞穩態會導致出現一個不想要出現的0或1。這我們之前討論的亞穩態有所不同,之前討論的出現了亞穩態,資料穩定下來後,最多也就是資料推遲一個週期來到,但這個資料我們還是需要的。但是因毛刺產生的亞穩態穩定之後,產生的0或1是我們不需要的,這個不需要的0或1如果出現在後續的電路中,且後續的電路有較強的因果關係時,整個系統都會出現錯誤,且難以排查。

參考連結:

1.你真的懂2-flop synchronizer嗎-- CDC的那些事(2)

2.常見數電面試題Pulse Synchronizer -- CDC的那些事(3)

3.多bit訊號跨時鐘域怎麼辦?