1. 程式人生 > 實用技巧 >數字IC設計之DC(二):DC設定、庫和物件

數字IC設計之DC(二):DC設定、庫和物件

設定、庫和物件

setup 、library、object

Sysnopsys提供了一個例子,在下面的位置:

$synopsys/doc/syn/guidelines

首先,可以在.synopsys_dc.setup裡面設定庫的位置和一些環境變數。裡面包括所使用庫的位置,DC涉及到幾個庫檔案:

1、工藝庫(target_library):工藝庫是綜合後電路網表要最終對映到的庫,讀入的HDL程式碼首先由synopsys自帶的GTECH庫轉換成Design Compiler內部交換的格式,然後經過對映到工藝庫和優化生成門級網表。工藝庫是由Foundary提供的,一般是.db的格式。這種格式是DC認識的一種內部檔案格式,不能由文字方式開啟。.db格式可以由文字格式的.lib轉化過來,他們包含的資訊是一致的。

2、連結庫(link_library):link_library設定模組或者單元電路的引用,對於所有DC可能用到的庫,我們都需要在link_library中指定,其中也包括要用到的IP。此處還要將search_path加進來,這樣才能找到.db檔案,否則只會搜尋當前目錄。

3、符號庫 (symbol_library):symbol_library是定義了單元電路顯示的Schematic的庫。使用者如果想啟動design_analyzer或design_vision來檢視、分析電路時需要設定symbol_library。符號庫的字尾是.sdb,加入沒有設定,DC會用預設的符號庫取代。

4、綜合庫(synthetic_library)

:在初始化DC的時候,不需要設定標準的DesignWare庫standard.sldb用於實現Verilog描述的運算子,對於擴充套件的DesignWare,需要在synthetic_library中設定,同時需要在link_library中設定相應的庫以使得在連結的時候DC可以搜尋到相應運算子的實現。

1 technology library

當DC對映電路時,它如何知道你在使用哪個單元庫?

它如何知道 cells 的時間?您的ASIC供應商必須提供一個dc相容的技術庫!

例如以下供應商提供的異或閘電路的電路庫

2 target library

目標庫是設計編譯器用來構建電路的庫。

在對映過程中,DC將:

  1)從這個庫中選擇功能正確的門

  2)使用供應商提供的這些門的timing資料計算電路的時間。

目標庫是DC中的保留變數

  將其設定為指向silicon矽供應商提供的庫檔案

set target_library my_tech.db

3 link library variable

用於解析設計參考設定連結庫:

首先搜尋memory ,然後搜尋連結庫變數 link_library variable中指定的庫檔案。

第二,DC搜尋在搜尋路徑變數search_path中定義的所有路徑

4 例子

如何告訴DC在bob中找到DECODE.db ?

設定search_path變數

使用analyze/elaborate