1. 程式人生 > >vcs + verdi 檢視模擬波形

vcs + verdi 檢視模擬波形

  1. 軟體版本:
    vcs : 2017.03
    verdi : 2017.12-SP2-1
    unix : CentOS 6.0
  2. makefile這裡寫圖片描述可以看到makefile中共有四個目標:前三個分別是 : “compile”, “sim”, “verdi”。分別代表了編譯,模擬,檢視波形的三個過程。
  3. vcs 呼叫命令
    vcs -f run.f -kdb -debug_access+all -lca -l comp.log
    其中, -f引數run.f是一個檔案,裡邊放置了所有的.v檔案,表示了檔案編譯的順序。 -kdb -debug_access+all -lca 這些引數是允許進行單步除錯等引數,在此不做詳解。 -l表示生成日誌檔案。

    verdi呼叫命令
    verdi -ssf rtl.fsdb -arch32 -nologo &
    -ssf表示指定波形檔案。
    注意:FSDB檔案:fsdb檔案是verdi使用一種專用的波形檔案資料格式

  4. 依次執行 make compile, make sim, make verdi三條命令。會自動開啟verdi。
  5. verdi中如何看波形
    這裡寫圖片描述
    上面給出的是verdi的介面。在右上程式碼區選中一個或多個訊號,使用Ctrl+w即可將該訊號的波形顯示在下方的波形區。
  6. verdi在波形區進行新增訊號的方法
    在波形區的左邊是有G1, G2的分組的,代表不同組別的訊號,雙擊可以將該組別的訊號摺疊起來
    。如果想在某一組中新增訊號,如G2,則單擊G2, 然後點選鍵盤上的G,即可出現下面的對話方塊。該對話方塊左邊是檔案,右邊是檔案中的訊號,可以通過下邊的filter選擇輸入訊號還是輸出訊號等等,這樣也可以顯示某訊號的波形。
    這裡寫圖片描述
  7. verdi中如何trace訊號,跟蹤訊號的變化
    兩種方法:

    • 在程式碼區直接雙擊訊號,即可在下方的Trace視窗看到訊號的變化情況;
    • 在波形圖中點選某個訊號的波形,雙擊,即可看到該訊號的變化情況。如下圖所示,在下圖黃線處雙擊,即可看到訊號Mux2_sel[1:0]是如何發生由0到1 的跳變的。
      這裡寫圖片描述
      這裡寫圖片描述
  8. verdi中在波形區做標記”marker”
    由於在檢視波形的時候,可能有好幾處錯誤等,需要先標記一處再去看別的,所以需要做”marker”。使用shift + M

    ,可出現如下對話方塊。在對話方塊中可指定marker的名稱,位置等等。
    這裡寫圖片描述

  9. vcs是如何產生波形檔案.fsdb的
    在我的工程的頂層檔案中有如下一段程式碼,即位生成波形的程式碼:

    initial
    begin
        $fsdbDumpfile("rtl.fsdb");
        $fsdbDumpvars;
        #12500 $finish;
    end
    
  10. 如標題所以,本文只是介紹了vcs + verdi 檢視模擬波形的功能,至於除錯等等未有涉及。