1. 程式人生 > >Quartus 使用Pin、qsf、TCL指令碼分配FPGA管腳

Quartus 使用Pin、qsf、TCL指令碼分配FPGA管腳

Quartus II分配FPGA管腳方法

1、直接配置

對應操作也是我們大家最熟悉的:Assignments --> Pin Planner
或者使用快捷方式 : Ctral + Shift + N

2、qsf檔案配置

全稱是Quartus Settings File。包含了一個Quartus工程的所有約束,包括工程資訊、器件資訊、引腳約束、編譯約束和用於Classic TimingAnalyzer的時序約束。

qsf 檔案會通過編譯產生,在工程目錄下可以直接找到
也可以將檔案匯出:Assignments --> Export Assignments…

檔案配置格式:

#配置屬性格式
	set_global_assignment -
name FAMILY "Cyclone V" # set_global_assignment -name 類描述 具體描述 #配置管腳格式 set_location_assignment PIN_V15 -to CLK # set_location_assignment 管腳號 -to 管腳名
3、TCL檔案配置

全稱是 Tool command language,是基於字串的命令語言,tcl 語言是一種解釋性語言,他不需要通過編譯與聯結,它像 “shell” 語言一樣,直接對每條語句順次解釋執行。在FPGA的應用中 tcl 檔案中使用 tcl 語言對管腳進行配置,tcl 檔案只包含管腳的配置資訊。

TCL 檔案可以自行建立,
或在Quartus工程下生成:Project --> Generate Tcl Files for project…

如圖:
在這裡插入圖片描述

檔案配置格式:

#配置屬性格式
	set_global_assignment -name FAMILY "Cyclone V"
#	set_global_assignment -name 類描述 具體描述
#配置管腳格式
	set_location_assignment PIN_V15 -to CLK
#	set_location_assignment 管腳號 -to 管腳名

檔案書寫格式與 qsf檔案相似

載入 TCL檔案:

載入方式:Tools --> Tcl Scripts,選檔案後,Run

如圖:
在這裡插入圖片描述

少量管腳分配可以使用通識簡單的第一種方法,如果對大量管腳分配,可以使用 qsf、tcl 簡介直觀明瞭的方法。