1. 程式人生 > >FPGA未使用管腳配置(Quartus)

FPGA未使用管腳配置(Quartus)

實驗:使用FPGA開發板(ALTERA)進行LED燈實驗

目標:實現一個與門,兩個按鍵任意一個按鍵按下,LED燈亮

現象:開發板上未配置的LED微亮

原因:發現其他未使用的管腳沒有配置,預設配置為了弱上拉

解決方案:

將未使用管腳設定為三態輸入
Assignments  -> Device 或雙擊器件 -> Device and Pin Options
 
Unsed Pins 選為As input tri-stated

配置以後其他未使用的LED就不亮啦

貼上實驗用原始碼,其中a和b配置為按鍵

LIBRARY IEEE;    
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY led IS 
PORT(a, b : IN STD_LOGIC; 
           y: OUT STD_LOGIC); 
END led; 
ARCHITECTURE one OF led IS
BEGIN
     y<= a and b;
END one;