1. 程式人生 > >Stay Hungry. Stay Foolish.

Stay Hungry. Stay Foolish.

見同學在玩,沒事就開始搞

地址:

第一關:


不解釋,你懂的全選就看見了,

第二關:


右鍵html原始碼不解釋,不過注意

這個進入下一關不能點選的

第三關:

我想說的是從第三關到第五關對我來說都是用一種辦法解決的,

第三關的時候我也遲疑了下,突然看到k的md5嗎,然後趕緊第四關是不是4的md5呢,

然後把4   md5了一把然後放到位址列k=...

結果就到了第四關,真的佩服我自己,然後第五關他說看規律,我看都沒看的把5 的md5搞進去了,結果真到了第五關

第五關:

這個地方小卡了一會

我開始想是不是要解析呢,我草那就麻煩了,點了,我還沒想玩這個還要寫程式碼的說.

然後,胡亂點把它給下了下了,然後開啟還是老樣子的圖片,

然後就想換換字尾,(這個主要在學校的時候看見我同學,總是把png的檔案改為gif盡然沒事,我總是很驚奇,

然後改為txt,打開發現自己有點傻,


二進位制,你再改字尾他能變麼?直接用編輯器開啟圖片就行了,還改字尾,,,,,這道題還有點意思.

第六關:


看到這個,馬上就想到了解密,但是轉念一想,我草,這是md5啊,你寫程式碼解碼出來,頭髮都白了,然後百度了,一把這個md5(ps:過去研究過md5,所以知道搜一下)

然後結果找到了,不用揭祕了.


看到就感慨了,如果這要我去破解,特麼的死了也搞不出來吧.慶幸沒有進入死衚衕

第七關:


果斷google之,發現被騙了.

後來直接用這個輸入到url就過了,(真心覺得被騙了)

第八關:


看到第一眼把這個值搞到url中,發現走錯門.確實沒那麼簡單.

他說門沒有,右鍵html原始碼


看到的第一眼,有想罵人的衝動,這是誰寫的程式碼不用post提交,突然一想,哦,我是在過關,不是在除錯別人的bug,

然後想,剛才我用這個get提交過了,不行的,那就換做post提交吧,

結果搞定.

第九關:


我操,這一關,可是佔用的時間都等於我搞前面幾關時間的二倍了,

首先,我看到的時候,想到,二進位制,填空,填啥?肯定是0/1

如果有的是0,有的是1呢,我想著想著就傻了,那怎麼算,感覺沒那麼複雜,然後

都填0,都填1,能有啥用,還是1/0串,又沒有任何意義,

然後這個時候,在同學群裡聊天,他說有網上有答案,我搜了下,

搞 到一個貼吧,沒找到答案,但是有人說第九關,是ascii,相關的,

,我頓悟,哦,這是ascii 字元,轉換的數,(突然想起來了大一的時候老師,叫我們ascii的時候了,那時候還經常看書後面的ascii對照表)

有點數都背會了,這難道要我去一個一個轉?傻了,

寫程式碼吧,

具體思路如下:

先轉為十進位制吧,然後再轉為ascii字元看看,

然後得到的字串,我一一眼就認出來,那是base64加密的東西,然後果斷解密.

結果不是很樂觀,解密的東西,我又不知道是啥,這部悲劇了,確實很悲劇,

解密後得到的二進位制亂碼,讓我沒了思路,這個僵持了10幾分鐘了,後來去搜索了一下,

發現有人第九題搞定了,才知道是要轉為*.tar.gz (這個步驟我真的想不出來,不知道道理是很麼,很奇怪,有知道的說下)

按照別人說的,搞成檔案字尾是.tar.gz

然後解壓,得到圖片.


ok,答案就在這裡了,


真的很感慨第九關,我一直還是不知道,根據什麼你們知道是要搞成tar.gz

知道的可以說下.

最後奉上最後一關寫的程式碼,同時發現自己越來越垃圾了,寫這個程式碼寫到一半,無奈的打開了eclipse,哎,

package com.tester.util;

import java.io.IOException;

import com.bea.common.security.utils.encoders.BASE64Decoder;
/**
 * 
 * @author zhangll
 * @date 2012-11-11
 */
public class ascii {
	public static void main(String[] args) {
		String[] binaryStrs = { "01001000", "00110100", "01110011", "01001001", "01000001", "01000011", "01001010",
				"01001011", "01101110", "01101100", "01000001", "01000001", "01000001", "00101011", "00110001",
				"01011010", "01000010", "00110001", "01010010", "01010100", "01010011", "00110111", "01100011",
				"00101011", "01001001", "01010001", "01000111", "01101011", "01101001", "01000110", "01010001",
				"01010110", "01000101", "01001001", "01101101", "01000001", "01100111", "01001000", "01010010",
				"01000011", "01000100", "01010110", "01001011", "01101011", "01000110", "00110110", "01101011",
				"01000010", "01010001", "01110010", "01010111", "01000101", "01000101", "01000011", "01000011",
				"00110000", "01010001", "01000010", "01001011", "01110001", "01101111", "01101111", "01001011",
				"01001011", "01101001", "01101111", "01100111", "01010101", "01010001", "01010101", "01000010",
				"01000001", "01100111", "01010101", "01100111", "01010000", "01010011", "01000111", "00111000",
				"01010111", "01010101", "01001111", "01101100", "01100101", "01110101", "01100111", "01101001",
				"01001011", "01011000", "01000010", "01010110", "01110000", "01001001", "01101000", "01100001",
				"01110001", "01110001", "01001101", "01100111", "00110111", "01000001", "01010110", "01010100",
				"01110101", "00101111", "01100110", "00110011", "01001100", "01100101", "00101011", "01110110",
				"00111001", "00101111", "00110001", "01110000", "01110110", "01110110", "01100010", "01110100",
				"01011010", "01100011", "00101011", "01011001", "00110111", "01100101", "00110011", "00101011",
				"01111010", "01011010", "00101011", "00111001", "00111001", "00110101", "01110011", "01111001",
				"01011010", "01001100", "01000111", "01010010", "01101011", "01011010", "01011001", "00110101",
				"01100111", "01001101", "01100010", "00110111", "01110101", "01001101", "01110000", "00110101",
				"00101011", "00110111", "01110011", "01000011", "00101111", "01010011", "01100101", "01010100",
				"01101011", "00110101", "01001010", "01010001", "01010110", "01000110", "01100101", "01000111",
				"00110000", "01011000", "01101011", "01010110", "01011010", "01100001", "01100001", "00110010",
				"01011000", "01010001", "00110110", "01111010", "01100110", "01110010", "00110100", "01101011",
				"00111000", "01000001", "01100111", "01000111", "01011000", "01010010", "00111000", "01100111",
				"01110000", "01110001", "01101001", "01100111", "01110001", "01001011", "01101111", "01000111",
				"00110011", "01100011", "01000100", "01101100", "00110101", "01000010", "01010001", "01010111",
				"01000101", "01000011", "01100111", "01000011", "01011000", "00101011", "00110011", "01100011",
				"01000110", "01110100", "01000110", "01101011", "01000011", "01010011", "01000111", "01010001",
				"01001101", "01000101", "01010001", "01111010", "01000110", "01101011", "01111000", "01000100",
				"01101001", "01101010", "00101111", "00111000", "01001000", "01010000", "01001010", "01000100",
				"01101101", "00110101", "01110110", "01011001", "01010000", "00110111", "01001111", "01110101",
				"01110000", "01110111", "01001000", "00101111", "00110000", "00101111", "00110001", "01100101",
				"01000101", "01100110", "01101010", "01110011", "01101010", "01010001", "01000001", "01100011",
				"01000001", "01011010", "01101000", "01100111", "01110011", "00110011", "01001101", "01001001",
				"01100001", "01100010", "01100111", "00101111", "01100110", "01000101", "01001010", "01101111",
				"01001111", "01011001", "01000001", "01001001", "01100010", "01000001", "01101101", "01111000",
				"01110111", "01110011", "01001110", "01001000", "01110101", "01010101", "01011000", "00111000",
				"01011001", "01111001", "01100110", "00110100", "01100110", "01101010", "00101111", "01010101",
				"01110110", "00101011", "01010110", "00101011", "01011000", "01100110", "00101111", "01100101",
				"00110111", "01010100", "00110101", "01001110", "00101111", "00101111", "01010000", "00110111",
				"01001100", "01001011", "00110110", "01101011", "01101111", "01111001", "00101111", "00101111",
				"01110000", "00101111", "01010101", "01100101", "01101111", "01110001", "01000011", "01101010",
				"00101011", "00111001", "01100110", "00110111", "00101111", "01001010", "00110010", "01010010",
				"00110001", "01100011", "01010000", "01010101", "01000110", "01110111", "01000111", "00110101",
				"01101001", "01011001", "01000111", "01110111", "01000001", "01010001", "01000011", "01000001",
				"01010001", "01110111", "01000001", "01101010", "00111000", "01000001", "00110001", "01011010",
				"01100110", "01001101", "01100100", "01011001", "01011001", "00110110", "00101011", "01101111",
				"01100101", "01110011", "01010101", "01010010", "01011010", "01000111", "01000010", "01101001",
				"01100010", "00110110", "01101111", "01001101", "01000111", "01000001", "01000111", "01000100",
				"01001101", "01110111", "00101111", "01101010", "00110101", "01100101", "01100100", "01010000",
				"01000010", "01000001", "01100011", "01000100", "01001000", "01101100", "00110000", "01111000",
				"01000101", "01000111", "01100101", "01110010", "01000001", "00110111", "01010010", "00110000",
				"01100011", "00110100", "01011001", "01111000", "01000100", "00110100", "01001100", "00110111",
				"01000001", "01000011", "00110111", "01000001", "01000001", "01100110", "01000001", "01000001",
				"01001100", "01000010", "01101011", "01110110", "01111001", "00110000", "00110111", "01100001",
				"00110000", "01001110", "01001011", "01010110", "00110101", "01110000", "01011000", "01001000",
				"00101111", "01100100", "01110001", "00110111", "01000110", "01000001", "01010001", "01000010",
				"01000011", "00110110", "00101111", "01110101", "01101011", "01100110", "00110010", "00110011",
				"00101111", "01101000", "00111000", "01001100", "01101001", "01101001", "01101001", "01001110",
				"01101000", "01000001", "01010001", "01000011", "01111001", "01000010", "01100011", "01010001",
				"01000101", "01010110", "01111000", "01001100", "01010111", "01000010", "00111000", "01010100",
				"01000010", "00110100", "01010000", "01100010", "01101100", "01101010", "01100110", "01010101",
				"01101010", "01101011", "01100111", "01000111", "01000001", "00110100", "01010100", "01010111",
				"01101111", "01010110", "01110111", "00110000", "01101001", "00101011", "00110100", "01000111",
				"01011001", "01100011", "01010010", "01100101", "01001001", "01110101", "01011001", "01101100",
				"01100111", "01100111", "01000011", "01000011", "01101101", "01001100", "01010011", "01001010",
				"01110101", "00111001", "00110011", "01010111", "01110011", "01010010", "00111000", "01001101",
				"01110101", "00110110", "00111001", "01101000", "00101011", "01101010", "01010111", "01001111",
				"01000100", "00110000", "01100111", "01010101", "01111000", "01001100", "01010010", "01011001",
				"00110010", "01110010", "01000001", "01100110", "01000111", "01000110", "01100011", "01010100",
				"01001000", "01010001", "01010011", "01111010", "01101100", "01110011", "01101011", "01101110",
				"01110110", "01110110", "01100111", "01101101", "01110110", "01111000", "00110111", "01000001",
				"01101101", "00110011", "01001001", "01011001", "00110100", "01011000", "01111000", "01110111",
				"01010010", "01101010", "00110100", "01011000", "01010100", "01100001", "01101101", "01000110",
				"01001010", "01001010", "01001100", "01101010", "01101000", "01110110", "01011000", "01000111",
				"01100010", "01110111", "01110110", "00110000", "01101110", "00110101", "01101110", "00111001",
				"01010010", "01100110", "01001100", "01110111", "01000100", "01110110", "01110011", "00101011",
				"00110011", "01000111", "00110010", "01111001", "01110011", "01001010", "01000011", "00101111",
				"01110010", "01100111", "00110010", "01000001", "01110110", "01010100", "01110011", "01110110",
				"01100100", "01100111", "00110010", "01101001", "01000101", "00110010", "01110011", "01000001",
				"00110011", "01110011", "01000010", "01101000", "00111001", "01100001", "01111000", "01000011",
				"01001100", "01100111", "01001100", "01101010", "01010110", "01101010", "00110110", "01111000",
				"01000100", "00110000", "00110100", "01110101", "01000011", "00101011", "01000111", "00110010",
				"01000001", "01101100", "00110110", "00110000", "00110010", "01101001", "01000011", "01011000",
				"01000001", "00110111", "01011010", "01110110", "01010100", "01101010", "01010111", "01101000",
				"01100111", "01110101", "00111000", "00110110", "01101110", "01010101", "01110111", "00101111",
				"00110001", "01110011", "01001100", "01000101", "01000100", "00111000", "01011000", "01011001",
				"01010001", "01110101", "00101011", "01001100", "01001010", "01111000", "01101010", "01011001",
				"01100010", "00101011", "01101100", "01000010", "01100110", "01000110", "00110011", "01001111",
				"01001100", "00111001", "01100010", "01000110", "00110000", "01010111", "01010110", "00110110",
				"01000101", "01100111", "00110110", "01100111", "01001110", "01010100", "01101001", "01110101",
				"01010111", "01110000", "01000001", "01110110", "01010111", "01000100", "01000010", "01000001",
				"01000011", "00111000", "01011001", "01100111", "01001000", "01111010", "01110100", "01101000",
				"00110000", "01010000", "01010010", "00110100", "01101111", "00110100", "01001001", "01110010",
				"01010100", "00110000", "00110110", "01100110", "01010110", "01000011", "00111000", "01010011",
				"00110111", "01010000", "01010001", "01001011", "01001101", "01001110", "01110110", "01111000",
				"01000100", "01010100", "01010101", "01101001", "01000010", "00110001", "01110110", "01110010",
				"01100110", "00101111", "01011001", "01010010", "00110110", "00110110", "01001010", "01110001",
				"01110110", "00101011", "00110100", "01000101", "01010011", "01010000", "01010100", "01000101",
				"01101101", "01101100", "01101001", "01000100", "01101101", "01000001", "00101111", "01000110",
				"00110101", "01001001", "01100111", "01000110", "01101100", "01110011", "01010010", "00110100",
				"01111010", "01001110", "01000001", "01110110", "01101110", "01100010", "01011001", "01101000",
				"01100001", "00111001", "01110111", "00111001", "01110100", "00111001", "01101001", "01001110",
				"01100010", "01100010", "01110011", "01010001", "01000001", "01101110", "01100110", "01010100",
				"00110001", "01001110", "01101010", "01100100", "01100100", "00111001", "01110111", "01101100",
				"01101010", "01110111", "00110101", "01001000", "01010111", "01100011", "01101100", "01111010",
				"01010100", "01101011", "01111010", "00110001", "01110011", "01101010", "01001110", "01100010",
				"01001000", "01110111", "01110000", "01010100", "01001010", "00110100", "01000101", "01001110",
				"01100011", "01001000", "01110111", "01110100", "01111010", "01100100", "01001101", "01001101",
				"01100010", "01000111", "01000111", "00101111", "01110111", "01101010", "00101111", "01110100",
				"00110101", "01110010", "00110110", "00110001", "01000110", "01001101", "01000100", "01011001",
				"01011001", "01101000", "01010010", "01101001", "01000001", "01110011", "01110100", "00110011",
				"01100111", "01100100", "01000111", "01001111", "01001001", "00101011", "01101111", "01100010",
				"01110010", "01100110", "01101101", "01000011", "01111010", "01001111", "01000110", "00101111",
				"01100010", "01000100", "01011010", "00101111", "00110000", "01001111", "00110001", "00110000",
				"01111000", "01100101", "01110010", "01010100", "01100001", "01111001", "01101111", "01000010",
				"01011001", "01000101", "00110000", "01000010", "01000100", "01001101", "01000001", "01000001",
				"01001111", "01001001", "01000001", "01000001", "01110101", "00110100", "01000010", "01010101",
				"01001100", "00101011", "01000001", "01001001", "01110010", "00110100", "01000100", "01100011",
				"01010001", "01000010", "01010010", "01100111", "01000011", "01001111", "01101101", "01000100",
				"01110110", "01000010", "01111000", "01000010", "01000010", "01101001", "01111000", "01110101",
				"01000001", "01000010", "00110111", "01111000", "01000010", "01000100", "01010001", "00110110",
				"00110000", "00110100", "01101011", "01000001", "01001110", "01001000", "01110010", "01001001",
				"01010110", "00111000", "01000001", "01001010", "00110001", "01110110", "00101011", "01011010",
				"01011010", "01110010", "01101110", "01001000", "01010111", "00111000", "01010101", "00101011",
				"01000111", "00101011", "00111001", "01110010", "01101111", "01010011", "01100100", "01110000",
				"01101111", "01100011", "01001010", "01011010", "01100110", "01100011", "00111001", "01011010",
				"01101110", "01101101", "01001110", "01110010", "01100111", "01000101", "01000111", "01000001",
				"00110111", "01011001", "01001000", "01001001", "01110111", "01000010", "01100010", "01000100",
				"01110100", "01101000", "00110101", "01101110", "01000011", "01001110", "01000111", "01000011",
				"01110001", "01001101", "01000011", "01010001", "01000001", "01101000", "00110010", "01101110",
				"01000010", "01000100", "01110011", "01000001", "00110000", "01011001", "01011000", "01110001",
				"01100111", "01000110", "01100111", "01101110", "01100010", "00101111", "00110010", "01001111",
				"01110011", "00110101", "01100001", "01100010", "00110101", "01100001", "01011000", "01001110",
				"01001110", "00101111", "01100110", "01000100", "01101010", "01000100", "01110111", "01010011",
				"01000001", "01011000", "01101101", "01101011", "00111000", "01001110", "01001011", "01000001",
				"01010100", "01111001", "01111000", "01100011", "01100111", "00101111", "01101110", "01001111",
				"00101011", "01000011", "01001111", "01001001", "01010010", "01010000", "01001100", "01100010",
				"01110010", "00111000", "01101111", "01100011", "00101111", "01101010", "01000011", "01100010",
				"00111000", "01010100", "01100011", "01111001", "00110100", "00110111", "00101111", "01000110",
				"01110011", "01110010", "01100111", "01000010", "01000001", "01000001", "01101001", "01110110",
				"01110111", "01101110", "01010011", "01101101", "00111001", "01001111", "01100110", "00101011",
				"01010111", "00110100", "00110111", "01100100", "00110011", "00101111", "01110110", "01010100",
				"01111000", "01000111", "01000110", "01100010", "01101010", "00110011", "01001101", "01100110",
				"01100001", "01100011", "01101000", "01111001", "01110111", "00101011", "01000111", "01100110",
				"00110001", "01110000", "01011000", "00111001", "01001110", "01010000", "00110000", "01101110",
				"00101111", "01000111", "01001100", "01110111", "01001111", "00110000", "01010001", "00101111",
				"00101111", "01011010", "01001110", "01000001", "00101111", "01101111", "01111000", "00111000",
				"01000111", "00101111", "00110100", "01011001", "01000001", "01100010", "01010100", "01000001",
				"00110010", "00110111", "00110111", "01010111", "01001001", "01100110", "01001101", "01000011",
				"01000111", "01011000", "00110100", "01110101", "01000100", "01110100", "01000011", "01101101",
				"01000011", "01111010", "01010100", "01101011", "00110000", "01100111", "01101111", "00110000",
				"01001101", "01011001", "01001101", "01000100", "01110010", "01010011", "00110101", "01000010",
				"01001000", "00110010", "01001101", "01010100", "00110111", "01110111", "00110100", "01111000",
				"01110101", "01110110", "01110010", "01000110", "00111000", "01010000", "01111001", "00110010",
				"00110000", "01010011", "01110101", "01000100", "01001111", "01101101", "01010011", "00101011",
				"01100001", "01000001", "01111000", "01000110", "01010011", "01010000", "00110111", "01010110",
				"01111001", "01010100", "00101011", "01010010", "01101101", "00110101", "01000010", "00110111",
				"01001100", "01011010", "01100011", "01110000", "01010010", "00110101", "01001011", "01100010",
				"00101111", "01010110", "01001111", "01010110", "01100110", "01010110", "01100111", "01101101",
				"01100001", "01000001", "01001011", "00110010", "01000101", "00110011", "01101111", "01001000",
				"01010111", "01010001", "01110101", "01110101", "01100111", "01101010", "01110111", "01000001",
				"00110100", "01110100", "01000001", "01001000", "01100001", "01000011", "01001100", "00110000",
				"01001000", "01100010", "01011001", "01100001", "01010111", "01010001", "01001111", "01110011",
				"00110011", "01010000", "01100001", "01110101", "00101111", "01110110", "01111010", "00110101",
				"00101011", "01010000", "01010000", "01110101", "00110001", "01100110", "01001100", "00111001",
				"01101110", "01010011", "00110111", "01010000", "00111000", "01110001", "01110100", "01011001",
				"00110100", "01110111", "01001010", "01110100", "01001110", "01101000", "00110100", "00110010",
				"01100110", "01010100", "01011010", "01101000", "01001110", "01101010", "00110000", "00110010",
				"01010001", "01100010", "01010011", "00101011", "01100010", "00110110", "01010101", "00111001",
				"00101111", "01100010", "01000100", "01110110", "01011001", "00110101", "01001110", "01101101",
				"01001101", "00110010", "01001101", "01010010", "01000001", "01000011", "00101111", "00101011",
				"01010000", "00110101", "00110111", "01011010", "00110101", "01110110", "01110011", "00110010",
				"00110101", "00110100", "01000001", "01000101", "01001000", "00111000", "01010000", "01110001",
				"00111001", "01110001", "01110010", "00101011", "01100101", "01100001", "00110101", "00110001",
				"01101110", "01000011", "00110001", "01110010", "01111000", "01010001", "01001110", "01000010",
				"01100001", "01000010", "01110001", "01010011", "00110001", "01000011", "01110110", "01110011",
				"01000011", "01001001", "01011000", "00111001", "01100001", "00101111", "01111000", "01110101",
				"01101010", "01011001", "01100010", "01110100", "01101000", "00111000", "01101010", "01000100",
				"01101010", "01010000", "00110110", "00110001", "01100001", "01010110", "01100100", "01110000",
				"01100001", "00101111", "01110011", "00110101", "01100111", "00110000", "01000111", "01100110",
				"01010001", "01011001", "00111001", "01000001", "01000111", "00110100", "01000001", "01110111",
				"01010011", "01000100", "01000101", "01100111", "01000111", "01100101", "01010001", "01011001",
				"01010100", "01000111", "01110110", "00110100", "01100101", "01001000", "00110100", "01001101",
				"01011001", "01100001", "01000101", "01001111", "01000011", "01010110", "00101111", "00110000",
				"00101111", "01110010", "01000100", "01110010", "01110011", "00110011", "00111000", "01101011",
				"01000001", "01110100", "00110100", "01101101", "00110001", "01001111", "01010101", "00101111",
				"01110000", "01110100", "01010110", "01010110", "01001000", "01010111", "00110110", "01110101",
				"00110000", "00110000", "01011001", "01000110", "01110010", "01001110", "01101000", "01001011",
				"01000001", "01001001", "00101011", "01001111", "01000011", "01111001", "01100010", "01010011",
				"01001110", "01010110", "01110000", "01100110", "01100111", "01000110", "00110000", "01001100",
				"01000101", "01110101", "00110011", "01110101", "01010001", "00110100", "01010001", "01101010",
				"01110111", "01110110", "01000001", "01001100", "01011000", "01000010", "01101010", "00111001",
				"01010110", "01001111", "01001100", "01101001", "01111000", "01001100", "00110001", "01011010",
				"01000111", "01000011", "01101111", "00110111", "01111000", "00111001", "01101111", "01100001",
				"01110110", "01101101", "01010101", "01101000", "01110111", "01001001", "01101111", "00110110",
				"01000101", "01001001", "01110111", "01100010", "01101001", "01011000", "01000111", "01010101",
				"01000001", "00110010", "01101110", "01100100", "01110111", "01100110", "01011001", "01110101",
				"01100101", "01010001", "00110110", "00110001", "00111001", "00110011", "01111001", "01000100",
				"01100010", "01110101", "00110011", "00110111", "01110001", "01111001", "01000111", "01011001",
				"01000001", "01101111", "01010000", "01000101", "01001111", "00110011", "01001100", "01001111",
				"00110110", "01100110", "00101011", "01101111", "01100011", "01000001", "01110111", "01000011",
				"01100111", "01101001", "01000001", "01010001", "01000001", "01001111", "01111000", "01010010",
				"00101111", "00110110", "01110011", "01010100", "01000010", "01010000", "01011010", "01001000",
				"01101110", "01001111", "01100111", "01000100", "01010101", "01001011", "01000111", "01001101",
				"01000100", "01101001", "01001001", "01000101", "01100010", "01100101", "01111010", "00110100",
				"01000101", "00111000", "01101000", "01110100", "00110100", "00111000", "01001000", "01000010",
				"01010100", "01010001", "01001011", "01111010", "01100110", "01110011", "01100101", "01110001",
				"01000001", "01100101", "00111001", "01010000", "01001001", "00110110", "01110101", "01101111",
				"01100011", "01110101", "01000110", "00111000", "01111000", "01111000", "01100111", "01010000",
				"01000001", "01010011", "01110100", "01111010", "01110001", "00110110", "01110000", "01100101",
				"01110011", "00110001", "01100100", "01010111", "01010110", "01100010", "01001110", "01000100",
				"00101111", "01001101", "01000001", "01000001", "00110000", "01100101", "01001011", "00101011",
				"00101011", "01000010", "01000101", "00110111", "01110000", "01000010", "00101011", "01010000",
				"01000010", "01100011", "00110100", "01110001", "01011010", "01000111", "01010111", "00110011",
				"01011000", "01000010", "00110111", "01011001", "01000001", "00111001", "01000010", "01000010",
				"01001111", "01100011", "01001011", "01001101", "01000111", "01010010", "00111001", "01001110",
				"01101001", "01100111", "00101111", "01000001", "01000010", "00111001", "01000111", "01110011",
				"01011001", "01000001", "01000101", "01110111", "01100111", "00101111", "01000100", "00111000",
				"01110111", "01000011", "01101001", "01001011", "00101011", "01110111", "01010001", "01000110",
				"01010000", "00101011", "01100101", "01100110", "01110111", "01000001", "01000111", "01111000",
				"01000100", "00110111", "00110111", "01100111", "01100101", "01000010", "01110100", "01110011",
				"00110010", "01011001", "01001111", "00110001", "00110011", "01110111", "01000101", "01011010",
				"01010000", "01100100", "00110100", "01010000", "01110101", "01000010", "00110110", "01010000",
				"00110001", "01000010", "01110110", "01010001", "01001000", "01101010", "01110001", "01010010",
				"01111000", "01010110", "00110001", "00111001", "01000011", "00101111", "01000100", "00110011",
				"01001001", "01011010", "01000100", "00111000", "00110001", "01010111", "01010110", "01101100",
				"01100110", "01101011", "01100111", "01111010", "01000111", "01101100", "01100101", "01000011",
				"01000011", "01101011", "00111000", "01000101", "01010011", "01100110", "01000111", "01010011",
				"01000100", "01001101", "01011000", "00110110", "01111001", "00111000", "01101010", "01001010",
				"01111001", "01110011", "01101111", "01000010", "00110110", "01110011", "01000110", "01110001",
				"01110111", "01101010", "00110101", "00111000", "01010000", "01101010", "01101111", "01111001",
				"01000010", "01000010", "00101111", "01110100", "00110100", "00101011", "00110101", "01001100",
				"01010101", "01100111", "01101010", "01010111", "01000101", "00110001", "01101101", "01101000",
				"01110001", "01001001", "01001011", "01100001", "01110000", "01011010", "01011001", "01011000",
				"01100111", "01100001", "01111000", "01010011", "01111001", "01101100", "00110100", "01100001",
				"01010001", "01110110", "01011010", "01101011", "01101100", "01011000", "01001010", "01100100",
				"01000001", "01111000", "01001101", "01000101", "01010110", "01011010", "01010010", "01010010",
				"01101100", "00110101", "01001001", "01010001", "00110000", "01101101", "01100011", "01000110",
				"01100110", "01001010", "01110101", "01110000", "01000101", "01010110", "01111010", "01100011",
				"00110001", "01101100", "01001010", "00110111", "01000010", "01111000", "01101110", "01000100",
				"01110111", "01010100", "01101011", "01001110", "01101111", "01011001", "00111000", "01100001",
				"01100111", "01101111", "01000011", "01000011", "01011010", "01001001", "01000001", "01010101",
				"01011010", "01000001", "01110100", "01000110", "01100100", "01010110", "01101000", "00110110",
				"01001010", "01010010", "01001101", "01110010", "01001011", "01001001", "01010111", "01010001",
				"01010010", "01000011", "01000111", "01101101", "01010001", "01001001", "01010101", "00110000",
				"01001011", "00111000", "01010011", "01010110", "01101010", "01100111", "01110001", "01010110",
				"00111001", "01010011", "01100011", "01001100", "01110010", "01010100", "01110010", "00110111",
				"00110111", "00110000", "01010001", "01001101", "00101111", "00110110", "01000101", "01010011",
				"00111000", "01001000", "01111000", "01101100", "01010000", "00111000", "01001001", "01011000",
				"01010100", "00110111", "01101010", "01000101", "01110101", "01101000", "01000001", "01000011",
				"01111001", "01101000", "01110000", "01000001", "01010001", "01001101", "00110011", "01111001",
				"01010100", "01100010", "01001101", "01010100", "01110000", "00110100", "00101111", "01100100",
				"01101010", "01101111", "01101100", "00101011", "01101101", "00111001", "01110011", "01001101",
				"01111010", "01111010", "01010100", "01101110", "01001001", "01010110", "01110100", "01001101",
				"01101100", "00110100", "01101010", "01000010", "01101011", "01000001", "01110100", "01000111",
				"01000111", "01010001", "01010000", "01000100", "01010111", "00110001", "01001011", "01100010",
				"01010010", "00110100", "01010001", "01011010", "00110100", "01001001", "01101001", "00110110",
				"01001001", "01010001", "01010000", "01010001", "01101001", "01110111", "01011000", "01010111",
				"01110100", "01101100", "01100100", "01010110", "01101100", "00101111", "00110000", "01111010",
				"00110110", "01001000", "01110000", "01101110", "01110011", "01101110", "00110000", "01001010",
				"01100010", "01111010", "00110001", "01110100", "00110010", "01001001", "00110011", "01000110",
				"01001110", "01011010", "01101110", "01000100", "01101011", "00111001", "01111001", "01001010",
				"01110001", "01001101", "01100111", "01001111", "01110010", "01111010", "01110111", "01000010",
				"01100100", "01100111", "01000111", "01110011", "01110010", "01000111", "01110111", "01100011",
				"01100010", "01001011", "01111000", "01100110", "01001000", "01001110", "01100111", "00110100",
				"01100101", "01100010", "01110001", "00110100", "01100100", "01000001", "01101110", "01001011",
				"01000011", "01000001", "01101110", "01111000", "00111000", "01000001", "01101101", "01101111",
				"01010011", "01010101", "01101011", "01001010", "01111001", "00101011", "01101000", "01110000",
				"01000111", "01101000", "01101110", "01101111", "01100001", "01110101", "01110100", "01110001",
				"01101101", "01100001", "01001010", "00111001", "01000100", "01110000", "01101100", "01100010",
				"01110101", "01001011", "01000111", "00110011", "01100100", "01001001", "00101011", "01000110",
				"01001000", "00110011", "01100110", "00110011", "01001010", "01101111", "01100011", "01100100",
				"01000100", "01010100", "01011010", "01110111", "01101001", "01011001", "00111000", "00111000",
				"01000111", "01011000", "01100110", "01011001", "01001010", "01000100", "01100111", "00110010",
				"01101011", "01001111", "01011001", "01000110", "01110111", "00111000", "00101111", "01000001",
				"01001001", "00111000", "01000001", "01110001", "01101111", "01000011", "01100111", "01110001",
				"01110001", "01000010", "01110000", "01110010", "01110010", "01101101", "01100111", "01100110",
				"00101011", "01110100", "00110010", "01010111", "00110001", "01000001", "01100101", "01000100",
				"01011001", "01000001", "01110001", "00110110", "01000100", "01010110", "01101001", "01101000",
				"01000101", "01000011", "01001011", "01000100", "01101010", "01100111", "01000101", "01000001",
				"00110101", "01001001", "01001011", "01110011", "01010000", "01000001", "01010101", "01000110",
				"01110111", "01100101", "01100100", "01000010", "01000100", "00110001", "01110101", "01010100",
				"00110111", "01110101", "01010001", "01011010", "01000011", "01000010", "00110100", "01011000",
				"01010010", "01001101", "01111010", "01000010", "01110101", "01011001", "01010111", "01001010",
				"01101101", "01000001", "01010001", "01101101", "01010110", "00110111", "01000001", "01000001",
				"01100100", "01000010", "01000001", "01110001", "01101100", "01100111", "00110000", "01001000",
				"01110000", "00110110", "01010111", "01001000", "01100111", "01100001", "01101111", "01001011",
				"01000101", "01100111", "01011000", "01011001", "01000001", "01111000", "01101011", "01001000",
				"01010000", "01110101", "01010101", "01100100", "01100101", "01101101", "00110100", "01001000",
				"01001100", "01000011", "01110011", "01001101", "01101111", "00110101", "01001101", "00101011",
				"01001110", "01000011", "01001001", "00101111", "01001100", "00110011", "01000011", "01001011",
				"01110011", "01010101", "00111001", "01110010", "01001001", "01100111", "00101011", "01110010",
				"00110101", "01001001", "01001011", "01001100", "01100111", "01010001", "01101111", "01111000",
				"01100111", "01011001", "01110100", "00110110", "00101011", "01011001", "01111001", "01100011",
				"01110110", "00110011", "00110001", "00110101", "01010010", "01001101", "01100110", "01000110",
				"00111001", "01000101", "01101111", "01110000", "01001011", "01111001", "01101001", "01110001",
				"01110001", "01010011", "01000100", "01010110", "01100100", "01010000", "01011000", "00110000",
				"01000100", "01010001", "01111001", "01001110", "01101010", "01000101", "00110010", "01110011",
				"01100010", "01010111", "00110111", "01010001", "01100100", "00101011", "01001000", "01011010",
				"01101000", "01011000", "01011000", "01000110", "01110101", "00110111", "01101000", "00110101",
				"00110100", "01010100", "01111000", "01001001", "00110101", "01001001", "01000100", "01000001",
				"01101111", "01001111", "01000011", "01010100", "00110000", "00111001", "01001010", "01101101",
				"01111010", "01101011", "01100101", "01100110", "01001111", "01011000", "00110100", "01101001",
				"01001011", "01010100", "00110111", "01101001", "01010011", "01101101", "01001000", "01010001",
				"00110001", "01001111", "01010011", "01010101", "00110001", "01001011", "00110101", "01110100",
				"01111001", "01001101", "01111001", "01100011", "00110011", "01001100", "00110111", "00101011",
				"01100111", "01110010", "01001100", "01111001", "01101001", "01110011", "01110001", "01110001",
				"00110110", "01110000", "01110010", "01100010", "01110101", "00101111", "01101111", "01001111",
				"01001000", "01010100", "01100011", "00110000", "01110100", "01110010", "01010111", "00110011",
				"01110100", "01110110", "01011000", "00110011", "00111001", "01101010", "01110111", "01100101",
				"01100101", "01000100", "01000001", "00110100", "00111001", "01100110", "01100110", "01101100",
				"01110001", "00111001", "01010000", "01011000", "01011001", "00101011", "01001101", "01010100",
				"01101011", "00110001", "01001010", "01110101", "01010000", "01110011", "00110011", "01010000",
				"01111010", "01000011", "00110100", "01110100", "01001100", "01101110", "00110101", "01011001",
				"00101111", "00110000", "00101111", "01001011", "01000011", "01000001", "01000110", "01000100",
				"01001001", "01100100", "00101111", "01101100", "01101100", "01011000", "01101000", "01111000",
				"01100111", "01011000", "01101110", "01010001", "01110111", "01000111", "01000010", "01010100",
				"01000111", "01010011", "01001101", "01110011", "01001100", "01010001", "01101000", "01100100",
				"01000101", "01001001", "00110011", "01000100", "01000001", "00110110", "01010000", "01100110",
				"01001001", "01001101", "00110011", "01000010", "01110001", "01010111", "01111010", "01000110",
				"01101001", "00101111", "01001100", "01101101", "01000101", "01000101", "01001111", "01000110",
				"01100010", "01110101", "01001000", "01011000", "01101001", "01001101", "01101011", "01110011",
				"01100010", "01101101", "01011001", "01010001", "01010110", "01010101", "01000010", "00111001",
				"00110100", "01011000", "01001001", "01100111", "00111001", "01111010", "01001110", "01110100",
				"01000110", "01000110", "01000110", "00101111", "01110101", "00101111", "01010101", "01101000",
				"01001100", "01100010", "01010011", "00110010", "01111010", "01100110", "01111001", "00110010",
				"01111000", "01101001", "01010000", "00111001", "01010010", "01011010", "01101010", "00111000",
				"01010011", "00101011", "00110101", "01101110", "01011000", "01010101", "00110100", "01000001",
				"01010110", "01000011", "01110101", "00110100", "01000100", "01001000", "01000110", "01000001",
				"01001111", "01010001", "01000001", "01110100", "00110100", "01110110", "01010111", "00111001",
				"01100110", "00101011", "00111000", "00110001", "01000010", "01101001", "01010001", "01101000",
				"01101010", "01010110", "01010011", "01000011", "01101111", "00111000", "01001011", "01010000",
				"01001000", "01011000", "01001111", "00110011", "01111001", "01000101", "01010000", "01110011",
				"01001010", "01110100", "00110111", "01110001", "00111001", "01010011", "01100111", "01001011",
				"01110100", "00110000", "01010101", "00110110", "01000100", "01101110", "01010110", "01101110",
				"01010110", "01011000", "01001000", "01110000", "01101110", "01110111", "01110000", "01011010",
				"01000110", "01000011", "01001010", "01101000", "01110111", "00110001", "01000110", "01100010",
				"01010010", "00101111", "01100111", "01000011", "01100100", "01000101", "00110000", "01010110",
				"01100101", "01001011", "01101111", "01100100", "01000110", "01001101", "00111001", "01101111",
				"01001110", "01110100", "01100001", "01001010", "01001101", "01110000", "01110010", "01010100",
				"01001011", "01110000", "01010001", "00101011", "01110011", "01000001", "01101001", "01101010",
				"01111000", "01100010", "00110101", "00110001", "01010110", "01000111", "01110110", "01000001",
				"01101010", "00110111", "01010110", "01100101", "01110010", "01111010", "00110110", "00111001",
				"01100011", "01010010", "01001110", "01011010", "01001010", "01010101", "01110010", "00110111",
				"00111001", "01010110", "01110010", "01010101", "01001011", "01011010", "01001100", "01000001",
				"01110011", "00110010", "01111000", "00110111", "01110010", "01101011", "01001000", "01010100",
				"01111001", "00110100", "01001110", "01001101", "01000110", "01010010", "01101110", "01001101",
				"00110001", "01111010", "01001010", "01010001", "01001111", "01110001", "01011000", "01101000",
				"01000010", "01001011", "01100010", "00110010", "00110001", "01010101", "01100110", "01110011",
				"01100001", "01000001", "01001101", "01010010", "01001010", "01000011", "01100100", "00101111",
				"01100111", "01000111", "00110110", "00110101", "01110000", "01100010", "01001101", "01100010",
				"01010101", "01100001", "01001110", "00110100", "00111001", "01110101", "00111000", "01101000",
				"00110101", "01001101", "01010011", "01010011", "00110111", "01000111", "00110011", "00110000",
				"01111001", "01000101", "00110001", "00111001", "01001011", "00110010", "01010100", "01011000",
				"01001101", "01001000", "01001000", "01111001", "01011001", "01011000", "00110111", "01110000",
				"01001001", "00101011", "01010010", "01101110", "01001110", "01101111", "01000011", "01011010",
				"00110100", "00101011", "01101010", "00110000", "01010010", "01100010", "00110111", "01001000",
				"01101010", "01010110", "00110001", "01010110", "01111010", "00110010", "00110001", "01101010",
				"00110000", "01111000", "01110101", "01111001", "01010000", "01010010", "01101100", "00110011",
				"01101101", "00110000", "01010010", "01011000", "01110011", "01010010", "00110110", "01000110",
				"01101011", "01000111", "01100100", "01001110", "01000111", "00110111", "00110000", "01110001",
				"01100001", "01101011", "01010011", "01110011", "01000100", "00110000", "01001101", "01011001",
				"01011000", "01010111", "01000010", "01000110", "01110011", "00111001", "01001000", "01110001",
				"01010101", "00110111", "01000110", "01110001", "01110010", "01101001", "00110000", "01101110",
				"01001110", "01010000", "01101010", "01110111", "00110011", "01010110", "00101011", "01001010",
				"01010000", "01010100", "01110000", "01010010", "01101111", "01101101", "01010111", "01101001",
				"01010110", "01101110", "01011010", "01110111", "01110001", "01101101", "01100010", "01001011",
				"01100101", "01110111", "01010001", "00110110", "01011000", "01110101", "01001101", "01110011",
				"00110000", "00101011", "00110000", "01000011", "01110000", "01001000", "01110101", "01101100",
				"00110111", "00110111", "00110000", "00110011", "01101011", "01110010", "01010001", "01001010",
				"00110011", "00111000", "01010001", "01111000", "01011000", "01101000", "01101100", "01011001",
				"01000010", "01010010", "00110110", "00110011", "00110011", "01110001", "01010010", "01110001",
				"01010101", "01011001", "01111000", "01100101", "01001000", "01001111", "01101011", "01110001",
				"01001110", "00110000", "01001010", "01010110", "01101110", "01110001", "00101011", "01001101",
				"01111010", "01011000", "01000101", "00111001", "01010000", "00101011", "01010000", "00110111",
				"00101011", "01101110", "01010000", "01110101", "01011010", "01000110", "01110111", "01100110",
				"01001100", "01100101", "00110110", "01001100", "01000001", "01001111", "01010001", "01110110",
				"01010000", "01000100", "01000100", "01111010", "01111010", "01010000", "01110001", "01010101",
				"01110010", "01000101", "00110111", "00111001", "01101100", "01111001", "01011000", "01000100",
				"01010000", "01000110", "01001001", "01110000", "01110100", "01000011", "00110000", "00111000",
				"01101100", "01110000", "01001110", "01100101", "00110010", "01101100", "01111010", "01110111",
				"01100001", "01101001", "01010011", "01101000", "01110011", "01110110", "01101111", "01111010",
				"01110011", "00110010", "01101001", "01100011", "00110100", "01010110", "00101111", "01101001",
				"01111000", "00110100", "01001101", "01100010", "01010100", "01001011", "01000111", "00110110",
				"00110000", "01100010", "00110010", "01110011", "01101000", "01101011", "01110110", "01010111",
				"01010011", "01101001", "01001100", "01100101", "01110010", "01101010", "00111000", "01000011",
				"01100011", "01110110", "01110010", "01101110", "01010100", "01111010", "01101110", "01100100",
				"01101000", "00110100", "01110110", "01101110", "00110001", "01100111", "01101000", "01001001",
				"01000111", "00110010", "01111001", "00101011", "01111001", "01100110", "01100011", "01101110",
				"01100101", "01001101", "00111000", "01010101", "00110011", "00111000", "01010110", "01000111",
				"01110000", "01000111", "00111001", "01001010", "01010001", "01101100", "01000101", "00110101",
				"00101111", "01110011", "01101101", "01100101", "01100011", "01100111", "01001001", "01100010",
				"01001111", "01010101", "00110010", "01001101", "01010011", "01100010", "01100100", "01001110",
				"01100110", "01110001", "00110101", "01101110", "00110000", "00110111", "01001101", "01010010",
				"01110010", "01011000", "01101001", "01101011", "00110110", "01101011", "01000001", "01001111",
				"01100100", "00110010", "00110000", "01011010", "00110001", "01101010", "01100011", "01110110",
				"01000101", "00110111", "01011000", "01001000", "01000111", "00110001", "01010011", "01110001",
				"01001111", "01010111", "00110110", "01101111", "01111000", "00110010", "00110110", "01110001",
				"01101010", "01110101", "01001010", "01101111", "01010001", "01001101", "01101110", "01110001",
				"01100110", "01100101", "01001100", "01110001", "00111000", "01100111", "01100111", "00110101",
				"00110111", "01000110", "00110011", "01001010", "01100101", "01010100", "00110000", "01010010",
				"01110001", "01101010", "01101100", "01100011", "01110101", "01110011", "01001001", "01010011",
				"01111010", "01010011", "01101100", "01101100", "01100111", "01101110", "01000011", "01110100",
				"01101001", "01011000", "01001111", "01001110", "01010011", "00110110", "01101000", "01001010",
				"01010011", "01001010", "00110111", "01001111", "00110011", "00101011", "01110010", "01110001",
				"01101010", "01001000", "01100101", "00110001", "00111001", "01000011", "01000010", "00110101",
				"01001010", "01010010", "01101010", "01100001", "01101101", "01101111", "00110001", "01001011",
				"01101000", "00110111", "01110000", "01010111", "01011001", "01000111", "00111000", "01001101",
				"01001110", "01101111", "01100001", "01101110", "01010100", "00110101", "01001100", "01111010",
				"01000110", "01100111", "01110110", "01000010", "01110101", "00110101", "01110100", "00110100",
				"01001011", "01001011", "01110101", "01100011", "00101011", "01110111", "00110001", "01010011",
				"01000100", "01000011", "01100111", "00110111", "01111000", "01110111", "00110100", "01101100",
				"01110001", "00111001", "00110101", "01101101", "01010000", "01010010", "01101110", "01101110",
				"01110010", "01010001", "01101110", "01101110", "01010101", "00110101", "01101011", "01110011",
				"00110000", "01010000", "00110110", "01100100", "01010100", "00110111", "01100011", "01100101",
				"01010101", "01011010", "01110100", "01010001", "01001110", "01010100", "01000101", "00111000",
				"01000110", "01001110", "01011010", "01001000", "01001111", "01111010", "01001000", "01110000",
				"00110001", "01001011", "00110001", "00110000", "00111000", "01110001", "01100110", "01100001",
				"00110100", "00110101", "01100111", "00110011", "01101100", "01101000", "01001001", "01011010",
				"00101011", "01111001", "01001110", "01100100", "01010100", "01101000", "01100101", "01111001",
				"01101000", "00110100", "00110011", "01100101", "01011001", "01001111", "01010111", "00111001",
				"00110111", "00101011", "00111001", "01110100", "01100101", "01110101", "01110101", "01101100",
				"01100110", "01101101", "01010101", "01110011", "01011010", "00110011", "01110010", "01010110",
				"01111010", "00110101", "00110101", "01100101", "01010011", "01101000", "01100001", "01001100",
				"00110010", "00101011", "01000010", "01000110", "01100100", "00110111", "01110011", "01101110",
				"01010010", "01010101", "01110001", "01110010", "01011001", "01100010", "01110000", "01110111",
				"01001100", "01010010", "01110011", "01100001", "01001111", "01010010", "01000110", "01000111",
				"01100110", "01001101", "00110011", "01110100", "01110110", "01111000", "01000101", "00101011",
				"01001101", "00110010", "01000010", "00111000", "01101100", "01000111", "01100100", "01101101",
				"01000011", "01100001", "00101111", "01001001", "00110000", "01010101", "01100100", "01000011",
				"01101110", "01100011", "00101011", "01001000", "01000100", "01110011", "01101011", "01100010",
				"00110101", "01001100", "00101011", "01011000", "01110111", "01100101", "01010011", "01010011",
				"00110001", "01110100", "01001101", "01000001", "01100010", "01000111", "01000011", "01101001",
				"01001001", "01110011", "01110111", "01010101", "01100101", "01100111", "01110111", "01101101",
				"01110111", "01110000", "01010101", "01001010", "01101101", "01101110", "01110010", "01001011",
				"01010111", "01110100", "01010000", "01101110", "01001101", "01110111", "01110001", "00110011",
				"00110111", "01001101", "00110011", "00101111", "01111010", "00110100", "00101011", "01000010",
				"01000100", "01010100", "00110010", "01011010", "01000110", "01110100", "01100110", "01100110",
				"00110111", "01000010", "01101110", "01010111", "01001101", "00110101", "01010011", "00101111",
				"01100010", "01101100", "01010001", "01111000", "01000101", "01101010", "01011000", "01000001",
				"01101110", "00110010", "01001100", "01101011", "01110010", "01110110", "01101100", "01000110",
				"00111000", "01100101", "00110000", "01101101", "01100001", "00110011", "01100101", "01011000",
				"01000010", "01101101", "00101111", "01000111", "01001011", "00110101", "01001001", "00111000",
				"01001111", "01010100", "00110010", "01011000", "00110101", "01100111", "01110001", "01100011",
				"00110111", "01110010", "01101011", "00101111", "01011001", "01110110", "01010100", "00110110",
				"00110110", "00110100", "01110111", "00110111", "01000111", "00101011", "01110000", "01011000",
				"01100010", "01101000", "00111000", "01000110", "01001100", "01111010", "00110111", "01101000",
				"01100010", "01101110", "00110101", "01001000", "01010011", "00101011", "01110011", "00110001",
				"01100110", "01110000", "00101111", "01010111", "00111001", "00101111", "01001110", "01001001",
				"01010011", "01000110", "01100100", "01001000", "01010010", "00110001", "01110000", "01000100",
				"01010100", "01000010", "01110010", "01100110", "01000101", "01011010", "00111001", "00110110",
				"01110001", "01010000", "01000111", "01110111", "01101110", "01001010", "01101110", "01011001",
				"01110010", "00110101", "01111001", "01111000", "01010111", "00110010", "01000011", "01000111",
				"01110100", "01011000", "01001111", "01001011", "01000101", "01010101", "01110001", "01001011",
				"00110001", "01100011", "00110111", "01110100", "01100010", "01011001", "01110100", "01110010",
				"01010011", "00110001", "01001000", "01111001", "01100101", "00110001", "00110001", "00110010",
				"01001111", "01110011", "01110100", "01001001", "00110010", "01110011", "01010011", "01000100",
				"01101001", "01001101", "01100100", "01010111", "01110110", "01111010", "00111000", "00110100",
				"01100011", "01010000", "01110000", "00110010", "01110110", "01001110", "01000111", "01010100",
				"01100110", "01001101", "01100111", "01011010", "01110110", "00110100", "00110001", "00110001",
				"01010101", "01100001", "01110000", "01110110", "01110001", "01001101", "01010010", "01010010",
				"00110101", "01100001", "01001001", "01010011", "01010001", "01101110", "00101011", "00110110",
				"01110100", "01110000", "01101100", "01001011", "01101100", "01101010", "01010110", "01100101",
				"01100001", "01010000", "01110110", "00110010", "00101011", "00111000", "01101110", "01111010",
				"01101000", "01100010", "01000010", "01101000", "01011010", "01001011", "01010111", "01110110",
				"00110100", "01010101", "01000111", "01100011", "01000101", "01101011", "00101011", "00110000",
				"01110100", "01000100", "01100011", "00101011", "01110111", "01000010", "01110010", "01010001",
				"01001001", "01010111", "00110100", "00111000", "01010010", "01100100", "00101111", "01110001",
				"01101010", "01110010", "01011001", "01100110", "01110101", "00110010", "01001101", "01101101",
				"00101111", "01100101", "00110001", "00110101", "01110000", "01001110", "01000110", "00110110",
				"01111010", "01001000", "01001100", "01000010", "00101111", "01100100", "00110001", "00110001",
				"01000011", "01010110", "00110101", "01000001", "00110011", "01001111", "00110110", "01010101",
				"01110011", "01001011", "00101011", "01000111", "00111001", "01001101", "00110001", "01110110",
				"01100101", "00101011", "01100001", "01110100", "01101100", "01001001", "01011000", "01110010",
				"01100011", "01011001", "01110011", "01001001", "01100110", "01110001", "01010011", "00110010",
				"00110001", "01100110", "01010110", "01010100", "01010010", "01101100", "01010001", "00111000",
				"01001001", "01001001", "01111010", "01100010", "00101111", "01001010", "01111010", "01110111",
				"01001010", "01010110", "01001111", "01100100", "01101110", "01111010", "01110111", "00101011",
				"01000110", "01001010", "01010000", "00110000", "01100101", "00110101", "01001011", "01110110",
				"01010110", "01001110", "01011000", "01001000", "01101111", "01000011", "01110010", "01100001",
				"00111001", "01010101", "00110100", "00110010", "01110001", "00110110", "01000111", "01100001",
				"01101100", "01111010", "00111001", "01010000", "01110010", "01010001", "01101110", "01000001",
				"01110111", "01110110", "01101010", "01110101", "00110000", "01110010", "01100100", "01001011",
				"00101011", "01100001", "01000111", "01010110", "01100110", "01001111", "01011000", "01010011",
				"01100010", "01010001", "01100101", "01000110", "01010001", "01001101", "01100010", "01001010",
				"01010001", "01001111", "01010101", "01000100", "00110111", "01001111", "01011001", "01110011",
				"00110010", "01101101", "01110001", "01011000", "01101101", "00111001", "01000111", "01010110",
				"01101110", "01001011", "00111000", "01100101", "01110000", "01101110", "01100001", "01101101",
				"01001110", "01110010", "00110001", "01110010", "00110010", "01000101", "01111001", "01011000",
				"00110100", "01010011", "00110100", "01110011", "01110111", "01101010", "00110110", "00110111",
				"01001010", "01000111", "00101011", "01100110", "01001001", "00110101", "00110110", "01110010",
				"01001101", "00110101", "01100011", "01101001", "00101111", "01101111", "01110101", "00110100",
				"01110011", "01101111", "00110000", "01111010", "01110111", "01001110", "01101011", "00110101",
				"01010001", "01001000", "01100110", "01000001", "00111001", "01100011", "01000011", "01101011",
				"01001100", "01001001", "01001100", "01000011", "01100111", "01000111", "01110101", "01011010",
				"01010011", "01001111", "01000111", "01111000", "01010111", "00111001", "00110001", "01010010",
				"01010010", "01110110", "01100110", "01010010", "00110001", "01100001", "01110100", "00101111",
				"01010110", "00110001", "01000111", "01011010", "01010000", "01100100", "01001011", "00110001",
				"01111010", "01110001", "01011010", "01110100", "01001001", "01101000", "01101111", "01010110",
				"01101111", "01101100", "01000101", "01100100", "01010111", "01001000", "01010111", "01110110",
				"01001101", "01011010", "01011000", "00110001", "01101011", "00110011", "00111000", "01100110",
				"01001100", "01101111", "00110001", "01110001", "01100100", "00110001", "01001000", "01011010",
				"01111010", "01011010", "01001010", "01001110", "00110001", "01100100", "00110110", "01100101",
				"00110011", "01100011", "00110110", "01100111", "01111000", "01100101", "01101011", "01111001",
				"00110001", "01110100", "01011010", "01101010", "01110110", "01001111", "01110000", "01100011",
				"01100001", "00101111", "01110001", "00110010", "01100100", "01100101", "01101100", "01000010",
				"01110101", "01100111", "00110001", "00111001", "01111010", "01101100", "01101101", "01110001",
				"01011000", "01010011", "01100010", "01111010", "01100111", "01100010", "01010101", "00111000",
				"01111010", "01000110", "01110100", "01100010", "01001000", "01101000", "00110000", "00101011",
				"00110100", "00101011", "01001100", "00101111", "00110001", "01010010", "01001111", "01100100",
				"01010010", "01111000", "01101111", "01110111", "01010111", "01110101", "01001111", "01001000",
				"01110101", "01101001", "01110110", "00110000", "01010010", "01101100", "01001000", "01010010",
				"01101100", "01101011", "00110100", "00110101", "01001010", "01100110", "01001101", "01010001",
				"01100100", "01101101", "01001110", "00101111", "01010110", "01101001", "01101101", "01100110",
				"01100010", "00110110", "00110000", "01010111", "01001111", "01001110", "00110010", "01110010",
				"01010101", "01000100", "01110111", "01111000", "01110010", "00110111", "01110001", "00110101",
				"01110001", "01100101", "01100010", "00111000", "01101110", "01110011", "01100001", "01110110",
				"01100101", "00110101", "01100100", "01110010", "01001100", "00110010", "00111001", "01001010",
				"01011010", "01100011", "01010101", "00101111", "00111000", "01110101", "01111001", "01100001",
				"01100011", "01011010", "01101100", "01110101", "00110011", "01000011", "00110100", "01010101",
				"01010110", "01010010", "01101100", "01000101", "01100100", "01010011", "01000001", "00110100",
				"01000011", "00101111", "01011010", "01001101", "01110100", "01101001", "01100011", "01110010",
				"01110101", "01101010", "01101111", "00110111", "01001100", "01100100", "01101100", "01011001",
				"00110011", "00110011", "01010000", "01011001", "01000101", "00111000", "01111001", "01001011",
				"01110000", "01110100", "01010110", "01101101", "01100011", "00101011", "01110010", "01100110",
				"01101101", "01101000", "01100011", "01110001", "00111000", "01000010", "01111001", "01110100",
				"01100010", "01110011", "01010101", "00110000", "00101011", "00111000", "01110011", "01001111",
				"00110011", "01100100", "00110110", "01000111", "00110011", "01101111", "01100010", "00110010",
				"01010101", "01110110", "01000101", "01010010", "01001001", "01000101", "01110111", "00101011",
				"00110110", "01110011", "00111000", "01100101", "01111001", "01101010", "01011010", "01000110",
				"01101001", "00110100", "00110010", "01001010", "00110011", "01100011", "01001010", "00101111",
				"01001111", "01101101", "01010010", "00110101", "00110000", "01010000", "01100110", "01110110",
				"01110001", "01000001", "01110010", "01110101", "01000011", "01111010", "00101111", "00110000",
				"01010110", "01110010", "01100011", "00101011", "01010000", "00101011", "00110010", "00110100",
				"00110010", "01111010", "01101010", "01101011", "01101001", "00110001", "01100110", "01001011",
				"01100010", "01110110", "01100010", "01010011", "01100001", "01101101", "01001110", "01101101",
				"01010011", "01000010", "01001000", "01101101", "01001000", "01101000", "01001011", "00110100",
				"01010000", "01010110", "01001111", "01011001", "01101001", "01010011", "01011001", "01010101",
				"01110000", "01001110", "01101000", "01000110", "01101010", "01101111", "01100010", "01110101",
				"01010101", "01110101", "01001000", "01010000", "01111010", "01110011", "00101011", "01101111",
				"00110111", "01110101", "01010001", "01111000", "01001110", "00101111", "01000110", "01010001",
				"01111001", "00110011", "00110011", "01101011", "01011010", "01010000", "01010011", "00110101",
				"01101000", "01110101", "00110110", "00110010", "01000001", "01001100", "00101011", "01010100",
				"01001011", "01001011", "01101110", "01110100", "01100100", "01111001", "01000010", "01110001",
				"00110000", "01101011", "01010000", "01010111", "01110100", "01101001", "01010011", "01101111",
				"01000011", "00110100", "00101011", "01111000", "01010010", "01100101", "01011000", "00110101",
				"01111001", "01001101", "01101101", "01110111", "00110110", "01101000", "01101110", "01100111",
				"00110111", "01011010", "01100110", "01000100", "01000111", "01001011", "01001000", "01100100",
				"00110111", "01010110", "01101000", "01010110", "00110101", "00110110", "01010100", "01101110",
				"01100110", "01110010", "01110011", "01011000", "01000111", "01001001", "01110011", "00111000",
				"00110111", "01110101", "01100010", "01010110", "01101010", "01101000", "01110011", "01010110",
				"01100101", "01010011", "01001111", "01110010", "01010111", "01100110", "01000001", "00110001",
				"01110110", "00110010", "00110111", "01110110", "01101110", "01010111", "01110101", "01110110",
				"01101001", "00111001", "01101011", "01010000", "01101010", "00110101", "01100111", "01110011",
				"01110101", "01000100", "00110001", "01010001", "01000110", "01101110", "01000111", "00101011",
				"01001100", "00110010", "01011000", "01100110", "01110110", "01101000", "01010111", "01100111",
				"01110000", "01010010", "01100101", "01111000", "01010111", "00110100", "01100101", "01101011",
				"00110110", "01110101", "01100010", "01111001", "01101001", "01110100", "00110110", "01101010",
				"01100001", "01001100", "00110101", "01011000", "01100001", "01001101", "01010111", "01011001",
				"01100101", "01110111", "00110101", "01001000", "01110111", "01000001", "01010010", "01001001",
				"01110010", "00110011", "00110101", "01110110", "01001001", "01000110", "01110011", "01101100",
				"01101000", "01100010", "01011000", "00110001", "01110101", "00110010", "00101111", "01000010",
				"01110101", "01101010", "01111001", "01001101", "01100110", "01001010", "00110010", "01010010",
				"01000011", "01000011", "01100100", "00111000", "01101001", "01101001", "01101010", "01110110",
				"01010011", "00110101", "01100111", "01110110", "00110100", "00101011", "01000101", "00111001",
				"01101101", "01101101", "00111001", "01010010", "01110001", "01001000", "01010110", "01001101",
				"01001011", "00111001", "01100110", "00110011", "00110110", "01101000", "01001101", "01100110",
				"01101001", "01010001", "01101010", "01110010", "00110011", "01001110", "00111000", "00110101",
				"01000011", "01100100", "00111000", "00110001", "01000101", "01101011", "01011010", "00110011",
				"01000100", "01001001", "01010110", "00110000", "00111000", "01001000", "01001001", "00110011",
				"01110001", "01001100", "01110000", "01010001", "01111010", "01001100", "01111001", "00101111",
				"00110011", "01010101", "01101001", "01110000", "01001101", "01101101", "00110010", "00111001",
				"01101011", "00110110", "01000110", "00110000", "00110110", "01101001", "01000111", "01111010",
				"01100111", "01101011", "01011000", "01010011", "01001110", "00110101", "01100011", "01011010",
				"01100100", "01100001", "01001001", "01000100", "01010111", "01011010", "01101111", "01010101",
				"01111000", "01010111", "01101111", "01100010", "01011001", "01100100", "01001000", "01000010",
				"01101001", "01010110", "01100001", "00110011", "01000111", "00110010", "01100011", "01111000",
				"01010101", "01100010", "00101111", "01100001", "01101010", "01000101", "01110001", "01110101",
				"01010001", "01001000", "01100101", "01100110", "01101101", "00111001", "01101011", "01110000",
				"01001000", "01010001", "00110111", "01110100", "01110100", "01100010", "01001111", "00111001",
				"01110000", "01101110", "01010011", "01001111", "01001101", "01111000", "01111001", "01101110",
				"01110010", "00110111", "00110010", "01111001", "01010100", "01010101", "01001001", "01000010",
				"01010000", "01000011", "01001000", "01101000", "01111001", "01110110", "01000101", "01110111",
				"00110011", "00111001", "01001000", "00110000", "01010110", "01000010", "01111001", "01101011",
				"00101111", "01101001", "01010001", "01101010", "00111000", "01010111", "01101011", "01100111",
				"00110001", "01010110", "01111000", "00110100", "01100101", "01110111", "01111001", "01100101",
				"01110000", "01001011", "01111000", "01000101", "01111010", "01000100", "00110010", "00111001",
				"01011000", "01010000", "00110001", "00110000", "01010100", "01110100", "01110100", "01010110",
				"01101110", "00110010", "01001000", "01010100", "01011000", "01010111", "01100011", "01011010",
				"01110111", "00110101", "00110001", "01101011", "01010000", "01110100", "01100110", "01010100",
				"01110011", "01000110", "01000010", "00110101", "01110011", "00101011", "00111001", "01101100",
				"01001111", "01010001", "01100001", "00110001", "01101010", "01110101", "01011010", "00110101",
				"01010110", "01101001", "00110011", "01111000", "01110100", "01111000", "00110111", "01100101",
				"01001101", "01110111", "01110010", "01010011", "01110101", "01001100", "01110100", "01010110",
				"00101111", "01110