1. 程式人生 > >caffe各種指令碼檔案示例

caffe各種指令碼檔案示例

《用於生成filelist的sh檔案》

#!/usr/bin/env sh
DATA=/mnt/LinuxShare/caffe_project/data
MY=./

echo "Create test.txt..."
rm -rf $MY/test.txt
find $DATA/test/pos/ -name "*.jpg" | cut -d '/' -f 7-9 | sed "s/$/ 1/">>$MY/test.txt
find $DATA/test/neg/ -name "*.jpg" | cut -d '/' -f 7-9 | sed "s/$/ 0/">>$MY/test.txt  
echo "Create train.txt..."
rm -rf $MY/train.txt
find $DATA/train/pos/images -name "*.jpg" | cut -d '/' -f 7-10 | sed "s/$/ 1/">>$MY/train.txt
find $DATA/train/neg/images -name "*.jpg" | cut -d '/' -f 7-10 | sed "s/$/ 0/">>$MY/train.txt

echo "All done"

《用於生成lmdb資料的sh檔案》

#!/usr/bin/env sh
# Create the imagenet lmdb inputs
# N.B. set the path to the imagenet train + val data dirs
set -e

PRONAME=CNN_classify
DATA=/mnt/LinuxShare/caffe_project/
LMDB_DATA=/home/zac/caffe_project/
TOOLS=/mnt/LinuxShare/caffe/build/tools

TRAIN_DATA_ROOT=/mnt/LinuxShare/caffe_project/data/
VAL_DATA_ROOT=/mnt/LinuxShare/caffe_project/data/

# Set RESIZE=true to resize the images to 256x256. Leave as false if images have
# already been resized using another tool.
RESIZE=true
if $RESIZE; then
  RESIZE_HEIGHT=8
  RESIZE_WIDTH=32
else
  RESIZE_HEIGHT=0
  RESIZE_WIDTH=0
fi

if [ ! -d "$TRAIN_DATA_ROOT" ]; then
  echo "Error: TRAIN_DATA_ROOT is not a path to a directory: $TRAIN_DATA_ROOT"
  echo "Set the TRAIN_DATA_ROOT variable in create_imagenet.sh to the path" \
       "where the ImageNet training data is stored."
  exit 1
fi

if [ ! -d "$VAL_DATA_ROOT" ]; then
  echo "Error: VAL_DATA_ROOT is not a path to a directory: $VAL_DATA_ROOT"
  echo "Set the VAL_DATA_ROOT variable in create_imagenet.sh to the path" \
       "where the ImageNet validation data is stored."
  exit 1
fi

echo "Creating train lmdb..."
echo $RESIZE_HEIGHT
echo $RESIZE_WIDTH
GLOG_logtostderr=1 $TOOLS/convert_imageset \
    --resize_height=$RESIZE_HEIGHT \
    --resize_width=$RESIZE_WIDTH \
    --shuffle=1 \
--encode_type='jpg'\
    $TRAIN_DATA_ROOT \
    $DATA/train.txt \
    $LMDB_DATA/lane_train_lmdb  
echo RESIZE_WIDTH
echo RESIZE_HEIGHT
echo "Creating val lmdb..."


GLOG_logtostderr=1 $TOOLS/convert_imageset \
    --resize_height=$RESIZE_HEIGHT \
    --resize_width=$RESIZE_WIDTH \
    --shuffle=1 \
--encode_type='jpg'\
    $VAL_DATA_ROOT \
    $DATA/test.txt \
    $LMDB_DATA/lane_test_lmdb  

echo "Done."

《用於生成meanfile的檔案》

#!/usr/bin/env sh
# Compute the mean image from the imagenet training lmdb
# N.B. this is available in data/ilsvrc12

EXAMPLE=/home/zac/caffe_project/lane_detect
DATA=/home/zac/caffe_project/lane_detect
TOOLS=/mnt/LinuxShare/caffe/build/tools

$TOOLS/compute_image_mean $EXAMPLE/lane_train_lmdb \
  $DATA/imagenet_mean.binaryproto

echo "Done."

《訓練CNN網路》

#!/usr/bin/env sh
set -e
/mnt/LinuxShare/caffe/build/tools/caffe train\
 --solver=/mnt/LinuxShare/caffe_project/lane_detect/solver.prototxt [email protected]

相關推薦

caffe各種指令碼檔案示例

《用於生成filelist的sh檔案》 #!/usr/bin/env sh DATA=/mnt/LinuxShare/caffe_project/data MY=./ echo "Create test.txt..." rm -rf $MY/test.txt find $D

Linux系統——shell指令碼應用示例

傳入一個網段地址,自動找出本網段記憶體活的IP地址。2,將存活的IP地址當作密碼來建立Linux使用者,使用者名稱格式為:你的名字_數字 3,有幾個存活IP地址,就自動建立幾個使用者   4,最後將建立的使用者名稱和密碼寫入到/tmp目錄下的某檔案裡 (1)找存活的ip (2)將ip去

如何在Linux系統中編寫指令碼檔案自動執行

        在叢集的部署和啟動過程中,往往要執行叫較多的命令,特別是已經部署成功在啟動的時候,總有一些初始化的工作要做。這個時候如果還是選擇一個一個去依次執行未免有些太浪費時間,因此選擇了指令碼的方式。並且指令碼的方式也便於向

Caffe 各種報錯原因彙總

Check failed: status == CUBLAS_STATUS_SUCCESS (13 vs. 0) CUBLAS_STATUS_EXECUTION_FAILED 此錯誤可能是由於資料標籤錯誤引起,在自己使用Caffe自帶的convert_imageset.exe轉換資料時,

java數字影象處理基礎使用imageio寫影象檔案示例(轉載)

一個BufferedImage的畫素資料儲存在Raster中,ColorModel裡面儲存顏色空間,型別等資訊,當前Java只支援一下三種影象格式- JPG,PNG,GIF,如何向讓Java支援其它格式,首先要 完成Java中的影象讀寫介面,然後打成jar,加上啟動引數- Xbootclasspat

Vscode DOS和UNIX指令碼檔案之間相互轉換的多種方法

DOS和UNIX指令碼檔案之間的轉換 DOS和UNIX指令碼檔案之間的轉換 vscode dos2unix perl vim awk sed tr

caffe的prototxt檔案

【參考】 data_layer 1、Data層 layer { name: "cifar" type: "Data" top: "data" top: "label" include { phase: TRAIN } transform_para

各種有關檔案的對話方塊的建立方法

如圖所示,工程中列舉了常用對話方塊的建立方法,如儲存對話方塊,檔案選擇對話方塊,資料夾選擇對話方塊。後續有更新。連結:工程原始碼 儲存對話方塊建立: UpdateData(TRUE);CString filename = "1.txt";CFileDialog saveDlg(FALSE

MFC寫入xls檔案示例

本文受了https://blog.csdn.net/weileshenghuo1/article/details/39554037以及http://club.excelhome.net/thread-937818-1-1.html的啟發。 1)計算機上要 安裝office 2007 2) 利

Kettle_js指令碼_示例

Kettle 版本7.0 一,String function 1 .date2str //js操作時間 var dValue = new Date(); //把時間變成字串 var dValue1 = date2str(dValue); //把時間變成當前格式字串 v

樹莓派自啟動python指令碼檔案經驗總結

因為專案的需要樹莓派開機自啟動python檔案,自己大概試了多種方法才成功。 首先我這裡的python指令碼檔案是不斷迴圈執行的,而且要啟動4個python指令碼檔案,我這裡重點寫一下網上常用的2中方法。 1.桌面自啟動(必須是利用桌面執行):在.config檔案中的autostart檔案(

rxjava2 + retrofit上傳檔案示例

service請求引數: ApiService: @Multipart @POST("/api/chunkupload/upload") Observable<Response<UploadFileModel>> fileUpload(@Part(va

除錯經驗——使用程式碼操作sql指令碼檔案

今天發現了一種有趣的.sql指令碼編輯方式:直接在SQLPLUS通過程式碼操作。 SQL> list 1* select &a from dual SQL> append order by 1* select &a from dualorder by

shell指令碼執行已有的其他指令碼檔案

工作中常遇到一些資料問題,需要各種指令碼去處理,各種先後順序,還要處理多個庫,還會遇到某個指令碼處理某個庫時報錯需要重新處理的問題,因此用一個shell把它們串起來就比較方便了 1.多個庫執行同一個指令碼 #/bin/bash city_array=('bj' 'sh' 'tj' )

JAVA使用Runtime.getRuntime()執行python指令碼檔案

java呼叫python(含anaconda) 注意: 1、python指令碼必須都用的是絕對路徑(可以拼接) 2、python指令碼呼叫自定義的模組時要將模組路徑新增到環境中。如果用sys.append(模組絕對路徑),要每一個指令碼都要新增專案所在的路徑。 cmd命令列執行:&n

caffe 網路模型檔案中的引數含義(top bottom lr_mult decay_mult)與模型編寫以及模型自定義

文章目錄 基本概念 資料層 Convolution Layer Deconvolution 反捲積層 Batch Normalization 層 Polling Layer crop層 裁剪 全連線層

DOM4j生成XML檔案示例

DOM4j生成XML檔案示例: package com.sjc; import org.dom4j.Document; import org.dom4j.DocumentHelper; import org.dom4j.Element; import org.dom4j.io.XM

emmc分割槽指令碼編寫示例

一 sfdisk指令碼例項 1.1 例項指令碼 #!/bin/sh #mmcblk1p01 boot BOOT_SYSTEM_OFFSET=10 ROOT_SYSTEM_SIZE=90 #mmcblk1p2 rootfs M

AJAX和from-上傳檔案示例【django專案】

專案簡述 本Django專案為測試例項專案,用於學習測試。 分別用三種Django檔案上傳方式(form方式、jQuery+jQuery.ajax方式、原生JS+原生ajax方式)做上傳功能示例 檔案檔案釋義 form_upload.htmlform上傳檔案靜態頁面 jquery_ajax_upl

caffe的python介面示例

import caffe #匯入caffe的python介面 caffe.set_mode_gpu() #設定成gpu模式或者設定成cpu模式 caffe.set_mode_cpu() net = caffe.Classifier( model_file='deploy prot