1. 程式人生 > >QuartusII建立工程的基本流程,圖解操作步驟

QuartusII建立工程的基本流程,圖解操作步驟

 本教程給出瞭如何用QuartusII,建立工程,建立原始檔,綜合編譯,時序模擬,如何下載一系列的操作步驟。顯示了一個完整的開發流程。供大家參考。

工程下載(點我

1.雙擊開啟QuartusII軟體,選擇 file-----New Project Wizard...

2.彈出如下視窗,本視窗介紹建立一個工程需要執行的設定,點選next。

3.設定工程存放路徑,工程名稱,以及實體名,單擊next

4.新增原始檔到該工程,如果以及有原始檔,瀏覽檔案點選add,沒有原始檔,直接點選next

5.選擇使用的晶片型別,系列,還有封裝,管腳號,以及具體晶片的型號。然後next

6.選擇第三方工具,如果沒有使用的,直接next

7.列出整個工程的概要,如果有設定錯誤,點選back重新設定,沒有錯誤,點選finish

8.選擇,file-----new,彈出如下視窗,再選擇block diagram/Schematic File,點選OK

9.選擇工具symbol tool

10.繪製原理圖如下,這裡只是演示操作,具體程式設計請檢視相關書籍。該原理圖方便驗證,下載好程式,測量相應關管腳電壓。看是否成功。

11.選擇assignment-----setting,在編譯前設定相關選項。

12. 選擇device           device  and pin  options.......

13.選擇general  在options:中選擇enable JTAG BST support

14.configuration裡面,選擇配置器件和程式設計方式,programming裡面,選擇輸出產生下載檔案格式,unused pins 裡面選擇閒置管腳狀態。

15.選擇process-------start compilation,進行編譯。

17,下圖是編譯過程顯示的圖片。

編譯成功後,顯示100%,flow summary視窗中,顯示successful

以下步驟進行時序模擬

18.選擇file-------new

點選other  files,選擇vector  waveform  file

19.選擇edit,endtime

(此步驟十分重要)設定模擬時間

20.選擇10us

21.儲存波形檔案,點選fie------save as ,儲存檔名為CPLDtest.vmf

22.點選view-----utility windows------node finder

講埠訊號新增到波形編輯器

23.點選list

24.拖動埠訊號,到波形編輯器視窗

25.選擇assignment----setting

點選simulation setting 選擇波形模擬檔案

單擊simulating  verification,選擇毛刺檢測glitch detection  1 ns

26.啟動模擬,單擊processin---start simulation

27.選擇processing-----simulation  report 觀察模擬結果。

以下為下載設定

28.選擇assignment---assignment editor

29.在category裡面選擇pin,

為埠號選擇相應的管腳。選擇4號5號管腳,下載成功的話,測量這兩管腳應該一個高電平,一個低電平

30.選擇tool-----programmer

在mode中選擇JTAG,如果沒有出現下載檔案,手動選擇add file....選擇CPLDtest.pof檔案。

31 選擇程式設計器

點選Hadware Setup..彈出對話方塊中選擇,usb blaster,單擊close

32.勾選相應配置選項,單擊start開始下載程式

33.進度條都100%時說明下載完成。0%到100%,本次下載用了25秒鐘,如果進度條很快幾秒鐘就到100%說明沒成功下載。最後,萬用表測量晶片管腳(4號高電平,5號低電平)。驗證程式確實下載OK