1. 程式人生 > >Mentor.Graphics.Questa.VIP.v10.6.Windows.&.Linux 2CD

Mentor.Graphics.Questa.VIP.v10.6.Windows.&.Linux 2CD

邊界 r12 需求管理 布局工具 基礎 管理工具 數據采集 簡易 軟件開發

ANSOFT產品:

Ansys Electromagnetics Suite v16.0 Win64 1DVD + 3CD

inclueded:

- Ansys Electronics Desktop 2015.0.0 (HFSS, HFSS 3D Layout, HFSS-IE, 2D Extractor, Q3D Extractor)

- Ansys Electromagnetics 16.0 Modeler Files for CATIAV5

- Ansys Electromagnetics ECAD Translators 2015.0.0

- Ansys Electromagnetics PExprt 2015.0.0

- Ansys Maxwell 2015.0.0

- Ansys Simplorer 2015.0.0

- Ansys SIwave 2015.0.0

■□■□■□■□■□■□■□■□■□
長期有效:

TEL:18980583122 扣扣:1140988741
■□■□■□■□■□■□■□■□■□

Ansoft HFSS v15.0 win32_64 Full-ISO 2DVD(三維結構電磁場仿真軟件)

Ansoft HFSS v15.03 Updat Only Win64 1CD

Ansoft HFSS v14.0 Linux 1DVD

Ansoft HFSS Antenna Design Kit v2.15 for HFSS v14.0-15.0 1CD

 

Ansys Maxwell 3D v16.03 Win32_64-ISO 2DVD(電磁場分析軟件)

Ansys Maxwell 3D v16.03 Linux 1DVD

 

Ansoft Designer and Nexxim v8.0 Full-ISO 1DVD(電子電磁仿真)

Ansoft Designer 7.0.1 Update Only 1CD

Ansoft Designer v9.0 HFSS 1CD

Ansoft Spiral Design Kit for Hfss v10.0 1CD

 

Ansoft ECAD v6.0 1CD

Ansoft Ephysics v3.1 WinALL-ISO 1CD(Maxwell的一個插件,電子電磁設計)

Ansoft Ensemble v8.0 1CD

Ansoft Links 6.0-ISO 1CD

Ansoft Links v6.01 Update Only 1CD

Ansoft Links v4.0 Win64-ISO 1CD

Ansoft OpTimetrics v 2.5-ISO 1CD

Ansoft PExprt v7.0.20-ISO 1CD(全圖形界面的電磁設備設計軟件)

Ansys Q3DExtractor v12.0 Win32_64-ISO 2DVD

Ansoft Rmxprt v12.1-ISO 1CD(電力電子電磁器件設計、分析和優化工具)

Ansoft SCap v5.5 1CD(Ansoft HFSS V9.1的圖示捕獲工具)

Ansoft.Serenade.Densign.Environment.v8.71 1CD(射頻微波、電路設計軟件)

Ansoft.SIWave.v7.0 Win32_64-ISO 2DVD(全新的信號完整性仿真工具,特別適合於對高速PCB板和復雜的IC封裝進行信號完整性分析)

Ansoft Siwave v7.02 Update Only Win64 1CD

Ansoft Simplorer v11.0-ISO 1CD(強大的多領域復雜系統仿真軟件包)

Ansys.Simplorer.AK30.Library.v8.0 1CD

Ansoft Simplorer v7.0 day1 & day2

 

Ansoft Spicelink v5.0-ISO 1CD(通用信號完整性電磁場仿真工具)

Ansoft.Turbo.Package.Analyzer(TPA).v8.0-ISO 1CD

Ansoft Max&Eph traning-ISO 1CD(教程)

Ansoft HFSS v10 用戶手冊(英文)

Ansoft Hfss 中文培訓教程

Ansoft Hfss v9.0 培訓影像

Ansoft Hfss v9.2 完全教程

Ansoft HFSS v9使用技巧

Ansoft HFSSv9邊界條件和激勵設置培訓

Ansoft Hfss 9.2 用戶指南

Ansoft Hfss 8.0 中文培訓教程

Ansoft Maxwell 2D 3D 中文使用說明

Ansoft Maxwell 官方手冊(英文)

Ansoft Rmxprt application 1CD

 

Apache Design Solutions產品:

Apache Design Solutions RedHawk v11.1.2 Linux64 1CD(IC功率分析解決方案)

 

FutureFacilities產品:

FutureFacilities.6SigmaDC.R9.0 Win32_64 2CD(致力於數據中心及電子散熱相關的整體解決方案,

包含6SigmaRoom,6SigmaRoomLite,6SigmaRack,6SigmaET等十多個模塊)

 

CDAJ產品:

Speed v2011.0-ISO 1CD(電機設計軟件)

SPEED 2000 user Manual

 

Magneforce v4.0 Windows 1CD(專業電機設計與電磁分析軟件)

 

COBHAM產品:

Opera v12.003 1CD(完整的電磁場3D分析軟件)

 

SAMTECH產品:

Samcef For Wind Turbines v1.1-ISO 1DVD(風力渦輪發電機設計的專業工程軟件)

 

JMAG產品:

JMAG Designer 16.0 Win64 & Linux64 2DVD

JMAG-Designer 14.0.01t Win64 & Linux64 2DVD

Jmag Designer 12.0 Win64-ISO 1DVD

JMAG-Designer v11.0 Win32_64-ISO 2DVD

JMAG-Designer v11.0 SLS&Sample Data-ISO 1DVD

JMAG Designer v10.3.03k Win32-ISO 1DVD(馬達電磁分析軟件)

JMAG Designer v10.3.03k Win64-ISO 1DVD

JMAG Studio v10.02201a Win32-ISO 1DVD

 

Infolytica Corporation產品:

Infolytica Products 25CD

included:

Actuator Plug-In for Simulink 2.2.2 Win32_64 2CD

ElecNet 7.5 Win32_64 2CD

MagNet 7.5 Win32_64 2CD

MagNet For SolidWorks 1.0.0 Win64 1CD

MagNet Plug-In for PSIM 1.3.2 Win32_64 2CD

MagNet Plug-In for Simulink 2.2.2 Win32_64 2CD

MotorSolve 4.11 1CD

MotorSolve 4.11 zh-CHS 1CD

MotorSolve 5.0 1CD

MotorSolve Plug-In for Simulink 2.2.0 Win32_64 2CD

OptiNet 7.5 1CD

Speed PC-BDC Importer 2.5 1CD

System Model Generator 2.3 1CD

ThermNet 7.5 Win32_64 2CD

Three Phase Machine Plug-In for Simulink 2.2.2 Win32_64 2CD

Trajectory Evaluator 3.0 1CD

Infolytica MagNet v7.4.1.4 Win32 1CD

InfoLytica.MagNet.v7.1.1 1CD(高精度磁場解析模擬軟件)

 

Silvaco產品:

Silvaco AMS v2010.00 Win32 1CD

Silvaco AMS 2008.09 Linux 1CD

Silvaco AMS 2008.09 Linux64 1CD

Silvaco AMS 2008.09 Solaris 1CD

Silvaco AMS 2008.09 Manual 1CD

Silvaco Iccad 2008.09 1CD

Silvaco Iccad 2008.09 Linux 1CD

Silvaco Iccad 2008.09 Linux64 1CD

Silvaco Iccad 2008.09 Solaris 1CD

Silvaco Iccad 2008.09 Manual 1CD

Silvaco Logic 2008.09 1CD

Silvaco Logic 2008.09 Linux 1CD

Silvaco Logic 2008.09 Linux64 1CD

Silvaco Logic 2008.09 Solaris 1CD

Silvaco Logic 2008.09 Manual 1CD

Silvaco TCAD 2014.00 Win32 1DVD

Silvaco TCAD 2012.00 Win32_64 1DVD

Silvaco TCAD 2010.00 Linux 1CD

Silvaco TCAD 2012 Linux64 1DVD

Silvaco TCAD 2008.09 Solaris 1CD

Silvaco TCAD 2008.09 Manual 1CD

Silvaco Catalyst 2008.09 Linux 1CD

Silvaco Catalyst 2008.09 Linux64 1CD

Silvaco Catalyst 2008.09 Solaris 1CD

Silvaco Char 2008.09 Linux 1CD

Silvaco Char 2008.09 Linux64 1CD

Silvaco Char 2008.09 Solaris 1CD

Silvaco Firebird 2008.09 Linux 1CD

Silvaco Firebird 2008.09 Linux64 1CD

Silvaco Firebird 2008.09 Solaris 1CD

Silvaco Mode 2008.09 Linux 1CD

Silvaco Mode 2008.09 Linux64 1CD

Silvaco Mode 2008.09 Solaris 1CD

Silvaco Parasitic 2008.09 Linux 1CD

Silvaco Parasitic 2008.09 Linux64 1CD

Silvaco Parasitic 2008.09 Solaris 1CD

Silvaco UT 2007.04 Linux 1CD

Silvaco UT 2007.04 Linux64 1CD

Silvaco UT 2007.04 Solaris 1CD

Silvaco VWF 2007.04 Linux 1CD

Silvaco VWF 2007.04 Linux64 1CD

Silvaco VWF 2007.04 Solaris 1CD

Parallel SmartSpice 1.9.3.E 1CD

 

AVANT!/SYNOPSYS產品:

Actel.Designer.v8.3.SP1.Windows-ISO 1CD(專業的FPGA器件開發軟件,是一個能使FPGA的系統性能提高15%的布局工具)

Actel.Designer.v8.4.2.6.SP2-ISO 1CD

Actel.Designer.v8.3.SP1.Linux-ISO 1CD

Actel.Designer.v8.3.SP1.Solaris-ISO 1CD

Actel.Flashpro.v8.4-ISO 1CD(編程器)

Actel.Libero.IDE.Platinum.SP1.v8.6.2.10 1CD

Actel.Libero.IDE.v8.4.Windows-ISO 1DVD(FPGA產品設計)

Actel.Libero.IDE.v8.4.Linux-ISO 1DVD

Actel.CoreConsole.v1.4 1CD(IP開發平臺 (IDP),有助於簡化以FPGA為基礎系統級應用的構建)

Spec-TRACER.2013.12.Windows 1CD(ALDEC推出需求跟蹤管理工具Spec-TRACER,能對FPGA 項目的需求進行跟蹤,還能分析

項目的每個需求是否都得到了充分地驗證,大大提高了交付項目的穩定性及可靠)

Synopsys Astro vZ-2007.03 SP10 Linux 1CD

Synopsys Astro vZ-2007.03 SP10 LinuxAMD64 1CD

Synopsys Astro IU vZ-2007.03 SP10 Linux 1CD

Synopsys Astro IU vZ-2007.03 SP9 SUSE32 1CD

Synopsys Astro Rail vZ-2007.03 SP7 Linux 1CD(一個全面的功耗完整性分析和實現工具)

Synopsys.Astro-rail vZ-2007.03 SP7 LinuxAMD64 1CD

Synopsys.Astro-rail vZ-2007.03 SP7 Linuxipf 1CD

Synopsys Astro Rail vZ-2007.03 SP9 SUSE32 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SPARC64 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SPARCOS5 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SUSE32 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SUSE64 1CD

Synopsys.Aurora.vX-2006.09.Linux 1CD

Synopsys.Cadabra.vB-2008.09 Linux 1CD

Synopsys.Cadabra.vB-2008.09 SparcOS5 1CD

Synopsys.Cadabra.vB-2008.09 Suse32 1CD

Synopsys Certify vG-2012.09 Win32 1CD

Synopsys Certify vE-2011.09 Linux 1DVD

Synopsys.CoCentric.System.Studio vX-2005.06 SP1 Linux 1CD

Synopsys.Common.Licensing(Scl) v1.2 for WinNT 1CD

Synopsys Component vC-2009.06 SP1 Win32 1DVD

Synopsys Component vC-2009.06 SP1 Linux 1DVD

Synopsys Coretools vK-2015.06 SP5 Linux32_64 1DVD

Synopsys CoreTools vJ-2014.12 SP1 Linux32_64 2CD

Synopsys Core Synthesis Tools vJ-2014.09 SP3 Linux64 1DVD

Synopsys.CoreSynthesis.v2002.05 Linux 1CD

Synopsys.Cosmoscope.vJ-2015.03.Windows 1CD

Synopsys Cosmoscope vJ-2015.03 Linux32_64 2CD

Synopsys Cosmoscope vB-2008.09 SP1 Linux64 1CD

Synopsys CoCentric System Studio(CSS) vE-2010.12 Linux 1DVD

Synopsys CoCentric System Studio(CSS) vE-2010.12 Msvc50 1CD

Synopsys CSS vG-2012.03 SP2 Linux 1DVD

Synopsys CSS vC-2009.03 SP1 SparcOS5 1CD

Synopsys CSS vC-2009.03 SP1 GccsparcOS5 1CD

Synopsys.Customdesigner vC-2009.06 Linux 1CD

Synopsys.Customdesigner vC-2009.06 LinuxAMD64 1CD

Synopsys Customexp vG-2012.06 SP1 Linux32_64 2CD

Synopsys Customexp vG-2012.06 SP1 Win32 1CD

Synopsys.CustomExplorer.vK-2015.06.Windows 1CD

Synopsys.CustomExplorer.vH-2013.03.SP2.WinALL 1CD

Synopsys.CustomExplorer.vK-2015.06.Linux64 1CD

Synopsys.CustomExplorer.vH-2013.03.SP2.Linux32_64 2CD

Synopsys.CustomExplorer.vK-2015.06.SI32 1CD

Synopsys Customsim vK-2015.06 Linux 1DVD

Synopsys CustomSim 2014.09 SP4 Linux64 1DVD

Symopsys CustomSim (XA) 2013 Linux32_64 2CD(支持多核仿真)

Synopsys Custom Waveview vL-2016.06-SP1 Linux64 1DVD

Synopsys DC 2000.05 WinALL 1CD

Synopsys Core Synthesis Tools(syn) vK-2015.06 Linux64 1CD

Synopsys (Design Complier) Syn vH-2013.03 Linux32_64 2CD

Synopsys Syn vB-2008.09 SP5-2 SUSE32 1CD

Synopsys Syn vB-2008.09 SP5-2 SUSE64 1CD

Synopsys Syn vB-2008.09 SP5-2 x86sol32 1CD

Synopsys Syn vB-2008.09 SP5-2 x86sol64 1CD

Synopsys DDR DDR2 PHY TSMC 65GP25 Linux 1CD

Synopsys DWC DDR2 SMIC 130G33 Linux 1CD

Synopsys Design Compiler 2008.09 Linux 1CD

Synopsys.Designware.IP.v2001.08 Linux 1CD

Snopysys DesignWare System-Level Library vD-2009.12-SP2 Linux 1DVD

Snopysys DesignWare System-Level Library vD-2009.12-SP2 Linux64 1DVD

Synopsys DFT Compiler 1 v2006.06 Linux 1CD

Synopsys DSP vC-2009.03 SP1 Win32 1CD

Synopsys DSP vC-2009.03 SP1 Linux 1CD

Synopsys Embedit Integrator vJ-2014.12 SP1 Linux32_64 2DVD

Synopsys.ESP.vG-2012.06.Linux32_64 3CD

Synopsys.ESP.vC-2009.06 Rs6000 1CD

Synopsys ESP vC-2009.06 Sparc64 1CD

Synopsys ESP vC-2009.06 SparcOS5 1CD

Synopsys ESP vC-2009.06 SUS32 1CD

Synopsys ESP vC-2009.06 SUS64 1CD

Synopsys ESP vC-2009.06 x86SOL32 1CD

Synopsys ESP vC-2009.06 x86SOL64 1CD

Synopsys Finesim(FSIM) vK-2015.06 Linux64 1DVD

Synopsys.FineSimPro.2012.12.SP3.Linux 1CD

Synopsys.Formality.vK-2015.06.Linux64 1CD

Synopsys Formality.vE-2010.12 SP2 Linux 1CD

Synopsys Formality vJ-2014.09 SP3 Linux64 1CD

Synopsys FPGA Synthesis Products vJ-2015.03 SP1.Windows 1DVD

Synopsys.Fpga.Synthesis.vJ-2015.03.Linux 1DVD

Synopsys Fpga Synthesis vG-2012.09.SP1 Windows 1CD

Synopsys Fpga Synthesis vG-2012.09.SP1 Linux 1DVD

Synopsys FPGA Synthesis Products vI-2014.03 Windows 1DVD

Synopsys FpGA Compiler II v3.8 1CD

Synopsys.FPGA Express.V3.6.1.6817.Winall 1CD

Synopsys.FPGA.Express.Xilinx.Edition.V3.6.1 1CD

Synopsys Galaxy Constraint Analyzer vH-2013.06 SP1 Linux 1CD

Synopsys Galaxy Custom Designer 2012.09-SP1 Linux32_64 2DVD

Synopsys Hercules vB-2008.09-SP5 Linux32_64 2CD

Synphony HLS vD-2009.12 1CD

Synphony HLS vD-2009.12 Linux 1CD

Synopsys Hsimplus vC-2009.06 WinALL 1CD(高性能的晶體管級仿真器)

Synopsys Hsimplus vG-2012.06-SP1 Linux32_64 2DVD

Synopsys Hsimplus vC-2009.06 Sparc64 1CD

Synopsys Hsimplus vC-2009.06 SparcOS5 1CD

Synopsys Hsimplus vC-2009.06 SUS32 1CD

Synopsys Hsimplus vC-2009.06 SUS64 1CD

Synopsys Hsimplus vC-2009.06 x86SOL32 1CD

Synopsys Hsimplus vC-2009.06 x86SOL64 1CD

Synopsys Hspice.vL-2016.06.SP1 WinALL 1CD(完全安裝版,高精確度的模擬電路仿真軟件)

Synopsys Hspice vL-2016.06.SP1 Linux64 1CD

Synopsys Hspice vK-2015.06.Linux32_64 2CD

Synopsys Hspice.vG-2014.09-2 Linux32_64 2CD

Synopsys.Hspice.vJ-2014.09-2.Suse32_64 2CD

Synopsys Hspice vC-2009.03 SP1 SparcOS5 1CD

Synopsys Hspice vY-2006.09.SP1 Doc 1CD

Synopsys IC Compiler II (ICC2) vM-2016.12 Linux64 1CD

Synopsys IC Compiler II vK-2015.06 Linux64 1CD

Synopsys IC Compiler vL-2016.03 SP1 Linux64 1DVD

Synopsys IC Compiler vH-2013.03 Linux32_64 2CD

Synopsys IC WorkBench(ICWB)vV-2004 Solaris 1CD(高速版圖設計可視化和光刻分析)

Synopsys Ident vC-2009.06 SP2 Win32 1CD

Synopsys Ident vC-2009.06 SP2 Linux 1CD

Synopsys Identify vL-2016.03-SP1 Windows & Linux 2CD

Synopsys Identify vH-2012.12 Win32 1DVD

Synopsys Identify vH-2012.12 Linux 2DD

Synopsys Power Fault IDDQ(idq) vK-2015.06 Linux64 1CD

Synopsys IDQ vC-2009.06 SP3 Linux 1CD

Synopsys IDQ vC-2009.06 SP3 LinuxAMD64 1CD

Synopsys IDQ vC-2009.06 SP1 SUS32 1CD

Synopsys IDQ vC-2009.06 SP1 SUS64 1CD

Synopsys IDQ vC-2009.06 SP1 x86SOL32 1CD

Synopsys IDQ vC-2009.06 SP1 x86SOL64 1CD

Synopsys Innovator v2009.12 SP1 Win32 1CD

Synopsys ISE TCAD v10.0 Linux 2CD(半導體元件制造與模擬軟件)

Synopsys Jupiter vY-2006.06 SP1 Linux 1CD

Synopsys.Jupiterxt vZ-2007.03 SP10 Linux 1CD

Synopsys LEDA vH-2013.03 Linux 1CD

Synopsys LEDA vB-2008.06 LinuxAMD64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 Linux 1CD

Synopsys Liberty NCX vC-2009.06 SP1 LinuxAMD64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 Sparc64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 SparcOS5 1CD

Synopsys Liberty NCX vC-2009.06 SP1 SUS32 1CD

Synopsys Liberty NCX vC-2009.06 SP1 SUS64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 x86SOL32 1CD

Synopsys Liberty NCX vC-2009.06 SP1 x86SOL64 1CD

Synopsys NCX vE-2010.12 SP3 Linux64 1CD

Synopsys Magellan vB-2008.09 Linux 1DVD

Synopsys Magellan vB-2008.09 LinuxAMD64 1DVD

Synopsys.Mempro.v2001.11.For.NT 1CD

Synopsys Siliconsmart vL-2016.03 Linux64 1DVD

Synopsys Milkway(MW) vL-2016.03 SP1 Linux64 1CD

Synopsys Milkyway vJ-2014.09 SP3 Linux64 1CD

Synopsys Milkyway vG-2012.06 SP3 Linux32_64 2CD

Synopsys.MW.vH-2013.03.Linux32_64 2CD

Synopsys NanoSim tool vC-2009.06 Linux 1CD

Synopsys NanoSim tool vC-2009.06 LinuxAMD64 1CD

Synopsys.NanoSim.vB-2008.09.Sparc64 1CD

Synopsys.NanoSim.vB-2008.09.SparcOS5 1CD

Synopsys MVtools vH-2013.06 Linux32_64 2CD

Synopsys.Mvtools.vJ-2014.12.SP1.Linux64 1CD

Synopsys Ncx vB-2008.12 Linux 1CD

Synopsys NS Hsim XA vD-2010.03 Linux 1DVD

Synopsys NS Hsim XA vC-2010.03 LinuxAMD64 1DVD

Synopsys NS Hsim XA vC-2009.06 SparcOS5 1CD

Synopsys NS Hsim XA vC-2009.06 SUS32 1CD

Synopsys NS Hsim XA vC-2009.06 SUS64 1CD

Synopsys NS Hsim XA vC-2009.06 x86SOL32 1CD

Synopsys NS Hsim XA vC-2009.06 x86SOL64 1CD

Synopsys NS Hsim XA vC-2009.06Sparc64 1CD

Synopsys.NT.vC-2009.06.Linux 1CD

Synopsys PCI-X v2.0 1CD

Snopsys Platform Aarchitecture vJ-2015.03 Linux 1DVD

Synopsys PP vV-2003.12 SP1 Linux 1CD

Synopsys.PrimePower vY-2006.06 Linux 1CD

Synopsys Primerail vA-2008.12.SP1 Linux 1CD

Synopsys primerail vD-2010.06 SP1 LinuxIA32 1CD

Synopsys primerail vB-2008.12 SP1 LinuxAMD64 1CD

Synopsys PrimeTime 2000.05-1 for winNT 1CD(靜態時序分析工具)

Synopsys Primetime vD-2009.12 SP3 Linux 1CD

Synopsys Primetime vD-2009.12 SP3 LinuxAMD64 1CD

Synopsys PT vK-2015.06 Linux64 1CD

Synopsys PrimeTime StandAlone(PTS) vK-2015.06 Linux64 1CD

Synopsys.PTS.vH-2013.06.Linux32_64 2DVD

Synopsys PWA tool vD-2009.12 Win32 1CD

Synopsys PWA tool vD-2009.12 Linux64 1CD

Synopsys Pycell Studio 2014.09 Windows 1CD

Synopsys Pycell Studio v2014.09 Linux 1CD

Synopsys Ranxt vD-2009.12 SP3 Linux32_64 2CD

Synopsys Ranxt vC-2009.06 SP1 Sparc64 1CD

Synopsys Ranxt vC-2009.06 SP1 SparcOS5 1CD

Synopsys Ranxt vC-2009.06 SP1 SUS32 1CD

Synopsys Ranxt vC-2009.06 SP1 SUS64 1CD

Synopsys Ranxt vC-2009.06 SP1 x86SOL32 1CD

Synopsys Ranxt vC-2009.06 SP1 x86SOL64 1CD

Synopsys Saber vL-2016.03 Windows 1DVD

Synopsys Saber vJ-2015.03 Linux 1DVD

Synopsys Saber vI-2013.12 Linux 1DVD

Synopsys Saber vI-2013.12 Windows 1DVD

Synopsys Saber vE-2011.03 WinALL 2DVD(不同的工程領域--水利、電氣、電子及機械等進行

物理作用仿真的軟件,也可作為信號流算法軟件)

Synopsys SaberRD vJ-2015.03 Windows 2DVD

Synopsys SaberRD vD-2011.03.Win32 2DVD

Synopsys SaberHDL Y-2006.06 WinALL 1CD

Synopsys.Sentaurus.vG-2012.06.SP2.Linux 1DVD

Synopsys.Sentaurus.vH-2013.03.Linux64 1DVD

Synopsys Simif vC-2009.09.SP1. Linux 1CD

Synopsys Simif vB-2008.09 Sparc64 1CD

Synopsys Simif vB-2008.09 SparcOS5 1CD

Synopsys SmartModel Library v2009.06a Linux 1CD

Synopsys SmartModel Library v2009.06a Linux64 1CD

Synopsys.Sold.v2009.03.Linux 1CD

Synopsys SPW vE-2010.12 Win32 1CD

Synopsys SPW vE-2010.12 Linux 1CD

Synopsys ssd vA-2007.09 Linux 1DVD

Synopsys STARRC vK-2015.06 Linux64 1CD

Synopsys.Starrc.vH-2012.12.SP2.Linux32_64 2CD

Synopsys StarRCXT vD-2009.12 LinuxAMD64 1CD

Synopsys Spice Explorer 2012.06.SP1.WinALL 1CD

Synopsys.SpiceExplorer.vG-2012.06.SP1.Linux32_64 2CD

Synopsys Synplify vL-2016.03-SP1 Windows & Linux 2DVD

Synopsys Synplify vK-2015.09 Windows 1DVD

Synopsys Synplify vJ-2015.03 SP1 Windows 1DVD

Synopsys Synthesis Tools tool vD-2010.03 Linux 1CD

Synopsys Synthesis Tools tool vD-2010.03 LinuxAMD64 1CD

Synopsys TCAD Sentaurus vD-2010.03.Linux 1DVD

Synopsys TCAD Sentaurus vI-2013.13 LinuxAMD64 1DVD

Synopsys Tcad Taurus Medici vD-2010.03 Linux 1CD

Synopsys Tcad Taurus MD vC-2009.06 LinuxAMD64 1CD

Synopsys Tcad Taurus Tsuprem4 vD-2010.03 Linux 1CD

Synopsys Tcad Taurus TS4 vC-2009.06 LinuxAMD64 1CD

Synopsys TetraMAX Overlay with Synthesis(tx) vK-2015.06 Linux64 1CD

Synopsys TetraMax vJ-2014.09 SP3 Linux64 1CD

Synopsys TX vC-2010.03 SP2 Linux 1CD

Synopsys TX vC-2009.06 SP3 LinuxAMD64 1CD

Synopsys TX vC-2009.06 SP1 SUS32 1CD

Synopsys TX vC-2009.06 SP1 SUS64 1CD

Synopsys TX vC-2009.06 SP1 x86SOL32 1CD

Synopsys TX vC-2009.06 SP1 x86SOL64 1CD

Synopsys TetraMAX StandAlone(txs) vK-2015.06 Linux64 1CD

Synopsys TXS vC-2009.06 SP3 Linux 1CD

Synopsys TXS vC-2009.06 SP3 LinuxAMD64 1CD

Synopsys TXS vC-2009.06 SP1 SUS32 1CD

Synopsys TXS vC-2009.06 SP1 SUS64 1CD

Synopsys TXS vC-2009.06 SP1 x86SOL32 1CD

Synopsys TXS vC-2009.06 SP1 x86SOL64 1CD

Synthesis Tools tool vZ-2007.03 SP1 Linux 1CD

Sentaurus vX-2005.10 SP1 Linux 1CD

Hspice 2005.09 英文用戶手冊

Hspice 語法手冊

 

Synopsys Star-HSpice v2006 03 SP1 1CD(電路仿真軟件)

Synopsys.Star-Rcxt vB-2008.12 SP2 Linux 1CD

Synopsys.Star-Rcxt vB-2008.12 SP2 LinuxAMD64 1CD

synopsys.Vera.vI-2014.03.Linux32_64 2CD

Synopsys Vera vD-2009.12 Linux32_64 2CD

Synopsys Vera v6.3.10 solaris 1CD

Synopsys Verdi vK-2015.09 SP1-1 Linux64 1DVD

Synopsys Verdi3.vJ-201412.SP2.Linux32_64 2DVD

Synopsys Verdi3 vI-2014.03 Linux 1DVD

Synopsys.VCS.v6.0.1.WinNT_2k 1CD

Synopsys VCS vM-2017.03-SP2 Linux32_64 2DVD

Synopsys.VCS.vI-2014.03-2.Linux64 1CD

Synopsys VCS vG-2012.09 Linux32_64 2CD

Synopsys VCS Verification IP 2012.12 Linux 1CD

Synopsys VCS MX vK-2015.09 SP2-1 Linux64 1DVD

Synopsys VCS-MX.vH-2014.03.Linux32_64 2DVD

Synopsys.2001.08.Core.Synthesis.for.linux 1CD

 

Synplicity Amplify v3.7 1CD(第一款為FPGA設計的物理綜合產品)

SynpliCity Identify RTL Debugger v2.0.1 1CD

Synopsys Synplify Pro vH-2013.03 Window 1DVD

Synopsys Synplify vF-2012.03 Linux32_64 2DVD

Synplify Fpga vF-2010.09 Linux 2CD

Synplify DSP v3.6 1CD

Synplify.Premier.v9.61 Linux 1CD

Synplify.Premier.v9.6.2.with.Identify.v3.02 1CD(針對復雜可編程邏輯設計的功能強大的FPGA 綜合工具,獨有的特性和極快的運算速

度使它成為業界的最流行的也是最強力的綜合工具,而且還附加了調試於優化功能)

Synplify Pro v9.2.2 Linux 1CD

Synplify v8.5 with Identify v2.3.2 Linux 1CD

Synplify ASIC v5.02 for win & linux & sun & unix 1CD

Taurus Medici vV-2003.12 linux 1CD

Virtio VPAI 2.0 Platform 1CD

 

Bosch Rexroth Group產品:

Bosch.Rexroth.Indraworks.v7.04-ISO 3CD(是一個簡單易操作的工程環境,用於所有力士樂電子控制系統及驅動系統)

Bosch.Rexroth.WinStudio.v6.5.WinNT_2K 1CD(提供了制造執行系統(MES)和用於監控及性能監視功能的數據采集與監視控制系統(SCADA)

 

Intercept產品:

Intercept Pantheon 6.0.04B Win32 1CD(PCB/Hybrid/RF設計輔助軟件)

Intercept Pantheon 6.0.04B Linux 1CD

Intercept Pantheon 6.0.04B Solars 1CD

 

SANDWORK DESIGN INC.產品:

Design Spice Explorer v2007.1 1CD

Design Spice Explorer v2003.1 Linux 1CD

 

Tanner產品:

Tanner.L-EDIT.pro.with.LVS.v10.0-ISO 1CD(IC設計驗證系統軟件)

Tanner.S-EDIT.v7.03 1CD(電路框架的制作和編輯工具)

Tanner.T-SPICE.Pro.v8.1(大規模模擬和混合信號IC的精確高效分析模擬軟件)

Tanner EDA Tools v16.01 Win64 1DVD

TannerTools v16.3 Win64 1CD

Tanner Tools v15.01 1CD(集成電路設計環境)

 

AMTECH產品:

Amtech.v2006-ISO 1CD(強大的電氣軟件套裝包括了電氣設計、測試、檢驗、協同工作和快速單線纜計算等功能)

Amtech.ProDesign.NEC.v9.2.5-ISO 1CD(符合NEC及IEEEE標準設計與分析電子系統的功能強大的軟件系統)

 

CIM-TEAM Inc.產品:

CIM-Team DDS-C R12 1CD(設計車間,機械建築,采礦業以及發電廠的工程設計程序)

 

 

VANDERPLAATS R&D產品:

Valor Genesis v10.2 1CD

Valor Genesis v10.0 1CD

Valor Genesis v9.7 1CD

Valor Genesis v9.2c 1CD

Valor Genesis2000 v8.0a WinNT4_2K 1CD

Valor GeneSIS 2000 中文教程

 

Valor Enterprise 3000 v7.2.4 1CD(是為 OEMs 和 PCB 設計者開發的DFM軟件。其實際上是一個虛擬的生產系統,

可以讓OEM廠商模擬整個生產過程:從設計到生產的整個流程。可以優化設計,

減少修改次數,讓你從快速的市場反應中獲益,並且提高產品質量)

Valor EnterPrise 3000 v7.2.4 Docs Addon 1CD

華笙 v4.9 for WinXP 1CD

飛針IGI軟件(igi8.20) 1CD

 

CADENAS產品:

Cadence.ADW.v16.60.015.Linux 1CD

Cadence Altos v12.12.000 Linux 1CD

Cadence.AMS.Methodology.Kit.6.12.Linux 7CD

Cadence ANLS v07.10.003 Linux 1CD

Cadence ASI v16.64.002 Win32_64 2DVD

Cadence ASI 16.63.000 Update Only Win32_64 2DVD

Cadence.ASI.v16.62.000.Update.Only.Linux 1DVD

Cadence ASI 16.62 Update Only Win64 1DVD

Cadence ASI v16.61 Update Only Win32_64 2DVD

Cadence ASSURA v6.15.04.12.017 Linux 2DVD

Cadence.Assura v4.10.002 Linux 5CD

Cadence Assura v4.10.006 Update Linux 3CD

Cadence Assura v4.12.004.615 Update Linux 4CD

Cadence Assura v3.13 for IC4.46 Linux-ISO 2CD(新一代深亞微米模擬和混合IC版圖驗證、寄生參數提取以及分辯率增強可制造性解決方案)

Cadence.OrCAD.Allegro.v17.20.030.Hotfix.Only 1DVD

Cadence Allegro and OrCAD (Including EDM) 17.20.025 Update Only Win64 1DVD

Cadence Allegro and OrCAD 17.20.004 Update-ISO 1DVD

Cadence Allegro and OrCAD 17.20.001 Update Only 1CD

Cadence Design Systems Allegro and OrCAD v17.2 Win64 1DVD

Cadence SPB/OrCAD (Allegro SPB) v17.00-ISO 1DVD(電子電路設計軟件)

Cadence Allegro and OrCAD (Including ADW) 17.00.001 Hotfix 1CD

Cadence SPB/OrCAD (Allegro SPB) v17.00.001.Hotfix.1 Windows 1CD

Cadence Allegro and OrCAD (Including ADW) v17.00.005 Hotfix 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60-ISO 5CD(電子電路設計軟件)

Cadence SPB/OrCAD (Allegro SPB) v16.60.018 Update Only 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.60.014 Update Only 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.60.013 Update Only 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.60.012 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.011 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.010 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.008 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.007 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.006 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.003 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.001 Update Only 1CD

Cadence OrCAD Library Builder & Documentation Editor v16.6 Win32 1CD

Cadence Allegro and OrCAD (Including EDM) 17.20.007 Linux 2CD

Cadence SPB/OrCAD (Allegro SPB) v17.00.0 Linux 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.30.019 Linux 3CD

Cadence OrCAD Capture CIS 9 實用教程 1CD

Cadence OrCAD 問題集錦 1CD

 

Cadence.Allegro.PCB.Design.v16.2-ISO 3CD(專業的電路板的設計軟件,適合於一個全新項目的PCB 設計)

Cadence.Allegro.PCB.v16.20.014 Update Only 1CD

Cadence.BSIMProPlus.v5.1 1CD(提供了全套的解決方案,包括采用Virtuoso器件模型(BSIMProPlus)來提取

和調整矽的可靠性模型以及用UltraSim全芯片模擬器進行的全芯片可靠性模擬和分析)

Cadence C-to-Silicon Compiler (CtoS) Product v11.10 Linux 2CD

Cadence Ccopt 2012 Linux 1CD

Cadence.CICE.v05.01.000.Linux 1CD

Cadence Conformal v11.10.320 Linux 1CD

Cadence Conformal v8.1 Linux64 1CD

Cadense Conformal LEC v10.1 Linux 1CD

Cadence CTOS v13.20.200 Linux 1CD

Cadence.CTS v9.1 Linux 1CD

Cadence.EDI-ISR3.v13.23.000.Linux 1DVD

Cadence EDI v13.12.000 Linux 1DVD

Cadence EDI v12.0 Linux 6CD(即SOC-Encounter,完整的綜合布局布線系統)

Cadence EMGR v08.02.001 Linux 1CD

Cadence Encounter RTL Compiler v9.10.100 Linux 1CD

Cadence Encounter timing system(ETS) v11.11.001 Linux 2DVD

Cadence Encounter Test 15.12.000 Linux 1DVD

Cadence Encounter Test(ET) v13.10.100 Linux 1DVD

Cadence.EXT.v13.20.157.Linux 1CD

Cadence Forte CynThesizer 05.03.400 Linux 3CD

Cadence GENUS 15.2 Linux 3DVD

Cadence Kitsocv v08.20.003 Linux 3CD

Cadence KMC v04.14.000 Linux 1CD

Cadence KQV v05.13.002 Linux 1CD

Cadence PDK Automation System (PAS) Release v03.05.003 Linux 1CD(最新版PDK自動化系統)

Cadence PDK Automation System (PAS) Release v03.05.003 Windows 1CD

Cadence PAS v3.1 Linux 1CD(PDK自動化系統)

Cadence.Pcell.PAS.v3.1.Linux 1CD

Cadence PSD 15.1-ISO 3CD(EDA開發工具包,它提供了從原理圖設計輸入、分析,PCB設計、PCB制造文件輸出等一整套工具)

Cadence PVE v12.10.488 Linux 1DVD

Cadence PVS v15.23.000 Linux 1DVD

Cadence PVS v15.13.000 Linux 1DVD

Cadence Physical Verification System(PVS) v10.1 Linux 1CD

Cadence Physical Verification System(PVS) v10.12.155 Update Only Linux 1DVD

Cadence SOCKIT v08.02.001 Linux 1CD

Cadence.RC.v12.22.000.Linux 1CD

Cadence RFKIT v8.1 Linux 4CD

Cadence RFSIPKT v07.02.001 Linux 1CD

Cadence.SPB.v16.3.Linux 5CD

Cadence SPMN v08.02.001 Linux 1CD

Cadence TSI v6.1 Linux 2CD

Cadence.TTI.v01.30.001.Linux 1CD

Cadenc.SPECTRE.v16.10.173.Base.Linux 1DVD(就是最新的MMSIM 3.68G)

Cadence MMSIM 15.10.385 Linux 7DVD

Cadence MMSIM v14.10.255 Linux 2DVD

Cadence MMSIM v13.1 Linux 5CD

Cadence MMSIM v12.10.317 Linux 7CD

Cadence MMSIM v11.10.445 Linux 2DVD

Cadence MMSIM v10.11.017 Update Linux 1DVD

Cadence MMSim v10.10.204 Linux 3CD

Cadence MMsim v7.11.071 Linux 6CD

Cadence MMsim v6.2 linux 7CD

Cadence MVS 15.20.000 Linux 1CD

Cadence MVS v12.11.465 Linux 1DVD

Cadence NEOCKT-03.04.011 Linux 1CD

Cadence IC Craftsman v11.241 1CD

Cadence IC 06.17.700 Virtuoso Linux 1DVD

Cadence IC Design Virtuoso v6.1.6 ISR8 Linux 6DVD

UofU.Digital.v1.2 for Cadence IC v5 (CDB) 1CD

UofU.Digital.v1.2 for Cadence IC v6 (OA) 1CD

Cadence IC5141 ISR200906100325 Linux 4CD(IC5141最新升級文件)

Cadence.ICADV.v12.30.700.Linux 1DVD

Cadence IFV v8.20.012 Linux 2CD

CADENCE INCISIVE v15.20.001 Linux 13DVD

Cadence INCISIVE v15.20.002 Hotfix Linux 6DVD

Cadence INCISIV 14.10.014 Linux 2DVD

Cadence INCISIV v13.20.002 Linux 1DVD

Cadence.Incisive.Enterprise.Simulator(IES) v8.2 Linux 1CD

Cadence InCyte Chip Estimator v03.04.008 WinALL 1CD

Cadence Indago 15.10.001 Linux 2DVD

Cadence Innovus v15.20.000 Linux 1DVD

Cadence Liberate 15.10.000 Linux 1DVD

Cadence.Logic.Design.and.VerifiCation(LDV).v5.1-ISO 1CD(邏輯設計與驗證)

Cadence.Logic.Design.and.VerifiCation(LDV).v5.1.Linux 2CD

Cadence Low Power Methodology Kit v08.02.001 Linux 3CD

Cadence iScape v4.21 Linux 1CD

Cadence IUS v5.4 Win32-ISO 1CD

Cadence Incisive Unified Simulator(IUS) v10.2 Linux 1DVD

Cadence.Allegro.v13.6-ISO 1CD(系統互聯設計平臺,此平臺具有縮短PCB設計周期, 顯著提高生產效率的特點)

Cadence SEV v4.1 Linux 1CD

Cadence Design Systems Sigrity 2017 Win64 1DVD

Cadence Design Systems Sigrity 2017 HF003 2DVD

Cadence Design Systems OpenPOWER Compliance Kits for Sigrity 2017 1DVD

Cadence Quantus QRC EXT v15.23.000 Linux 3DVD

Cadence Sigrity 2016 v16.00.002 Win64 1DVD

Cadence Sigrity 2016 Windows 1DVD

Cadence Sigrity 2015 Win64 1DVD

Cadence SPW v4.9 Linux 1CD

Cadence SSV v15.20.000 Linux 1DVD

Cadence Stratus 15.20.100 Linux 2DVD

Cadence Stratus v17.10.100 Base Linux 1DVD

Cadence Stratus v17.15.100 Update linux 1DVD

Cadence.SWI.v13.10.001.Linux 1CD

Cadence VSDE v4.1 ISR17 Linux 1CD

Cadence Generic PDK090 v3.7 Linux 1CD

Cadence Generic PDK

Cadence CONFRML v17.10.100 Linux 1CD

Cadence.VG.GNU.PACKAGE.2012.09.Linux 1DVD

Cadence VIPCAT v11.30.021 Linux 2DVD

Cadence ZYNQVP v11.10.055 Linux 1CD

Cadence.IC設計.全資料教材 1CD

Allegro 14.2 中文教材

Allegro 15.X學習與使用(中文)

Cadence Allegro簡易手冊(中文版)

Cadence 使用參考手冊(中文版)

CADence PCB設計中文教程

 

Ultra Librarian v7.5.114 1CD

ULTRA Librarian Gold v3.0.1034 1CD(程序庫設計工具)

Conformal Constraint Designer v6.1 1CD(在給定設計問題下確保有效時序約束的產品)

Specctra (Allegro PCB Router) 16.6 112 Win32 1CD

Cadence.Specctra.Router.v10.2 1CD(功能強大的PCB無網格自動布線器)

Cadence.Specman.Elite.v5.0.Linux-ISO 1CD

Orcad Library Builder v16.6.62 1CD

SKILLCAD v41R Linux64 1CD

 

SpringSoft產品:

Laker.v2016.12.Linux64 1CD

Laker 2012.07 Linux32_64 & SOL7 & SOLARIS2 & Symbol 1DVD

Laker v2011.06 Linux32 1CD

Laker v2011.06 Linux64 1CD

Laker 2009.12 P2 Linux 1CD

Laker 2009.12 P2 LinuxAMD64 1CD

Laker 32 v3 REDHAT9 1CD

Laker 31 v3p6a REDHAT72 1CD

Laker 32 v3p6 SOL7 1CD

Laker 32 v3p6 SOLARIS2 1CD

Laker 2009.12 P2 Symbol 1CD

Laker 2009.12 P2 Document 1CD

Laker 32 v3p6 LabBook

Laker Document 1CD(適用3.0版和更高版的用戶手冊)

Laker.ADP.v2015.03.Linux32_64 2CD

Laker AMS v6.1p4 WinALL 1CD(電路圖設計與調試環境)

Laker AMS v6.1p4 Linux

Laker.OA.vJ-2014.09-SP1-4.Linux64 1DVD

 

ADP 2012.07 Linux32_64 & SOL7 & SOLARIS2 & Symbol & Xlib 1CD

ADP 21 v3p5 Linux 1CD

ADP 21 v3p5 LinuxAMD64 1CD

ADP 21 v3p5 REDHAT9 1CD

ADP 21 v3p5 SOL7 1CD

ADP 21 v3p5 SOLARIS2 1CD

ADP 21 v3p5 symbol 1CD

ADP 21 v3p5 Document 1CD

 

Intusoft產品:

ICAP/4 v8.1.6 for WinAll 1CD(電源仿真軟件)

Intusoft Magnetics Designer v4.1.0 Build 350 1CD

 

Aegis產品:

CircuitCAM v7.5 1CD

Aegis.CircuitCAM.Suite.v6.0.2.2 1CD(生成設備程式、建模軟件)

BoardMaster LPKF v5.1 Full 1CD

LPKF CircuitCAM 6.1.5 build 1159 1CD(一個高端成熟的電路板生產CAM軟件)

Circuitcam v5.0使用手冊(中文)

 

Aucotec產品:

Aucotec ELCAD v7.8.0 Multilingual-ISO 1CD(ECAE系統,電子工程軟件)

 

Altium產品:

Altium CircuitStudio 1.0.4 build 41779 1DVD

Altium Designer 18.0.7 Win64 1DVD

Altium Designer 17.1.6 Build 538-ISO 1DVD

Altium Designer 17.0.7 Build 424-ISO 1DVD

Altium Designer 16.1.12 Build 65033-ISO 1DVD

Altium Designer v16.0.6 Build 282-ISO 1DVD

Altium Designer v15.1.14 Win7_8 1DVD

Altium Designer 15.0.15 Build 41991-ISO 1DVD

Altium.Designer.v15.0.8.Multilingual-ISO 1DVD

Altium Designer 14.3.15 Build 35511 Multilingual-ISO 1DVD(電子產品開發系統)

Altium CERN Library 2014 1CD(電氣元件庫)

Altium Designer v10.818.23272 with All Plugins, Examples, Libraries 1DVD(9.61 G)

Altium Designer Winter 10 v10.600.22648 Win64-ISO 2DVD(電子產品開發系統)

Altium Protel DXP v7.2.92.With.SP3 WinNT-ISO 1CD

Altium Vault 3.0.13 1CD

Protel DXP Altium v7.0 WinNT_2000_XP-ISO 1CD

Protel Dxp 2004 sp2-ISO( 完全安裝版)

Protel DXP 2004 Sp4 1CD

Protel DXP 2004 Sp4 IntegratedLibraries 1CD

Protel DXP 2004 Sp3 集成庫 1CD

Protel DXP 2004漢化及工具

Protel 98-ISO 1CD (簡體中文破解版)

Protel 99SE Sp6 1CD(簡體中文版,含第二版)

Protel 99 正式版 1CD

Protel 99 SE 的入門說明書(中文版)

Protel DXP Fpgalibraries 1CD

Protel DXP Trial Version 1CD

Protel DXP 電路設計及應用教程

Protel DXP 培訓教材(中文)

 

P-CAD v2006.With.SP1-ISO 1CD(印制線路板設計軟件)

P-CAD v2006.SP2 1CD

Simetrx/Simpis v4.2-ISO 1CD(混合信號電路仿真軟件)

 

InduSoft Web Studio v7.1 SP3 1DVD(功能強大的自動化整合開發工具)

Tasking C166/ST10 v7.5 r2-ISO 1CD(嵌入式軟件開發工具套件)

Tasking C166 v7.5 r2 1CD

Tasking C FOR 196_296 v6.0 R1 1CD

Tasking Dsp Suite v2.0r0 For Motorola Dsp5600X 1CD

 

FAMIC TECH INC產品:

Automation Studio P6 SR9 Win32-ISO 1DVD

Automation Studio 6.0.0.10932 Win32 1CD(電路設計、模擬和項目文件軟件)

 

NEC產品:

EMCoS Studio 2017 Win64 1DVD

EMCoS 2013 EM Simulation Suite 1DVD

include:

EMCoS Antenna VirtualLab 1.0

EMCoS PCB VLab 1.0

EMC Studio 7.0

EMCoS Antenna VLab 1.01 1CD

EMC Studio v7.0 1CD(電磁兼容分析軟件)

NEC EMIStream v4.5001 1CD(EMC防真軟件)

EM.Cube 2013.Win32_64 2CD

 

Remcom, Inc.產品:

XFDTD.Bio-Pro.v6.3.8.4.Win2k_XP 1CD(高頻電磁分析模擬軟體)

XFDTD v7.0 1CD(美國REMCOM公司開發的一款基於電磁數值計算方法FDTD的全波三維電磁仿真軟件)

XFDTD v7.3.0.3 Win64 1CD

XGTD v2.1 1CD(電磁仿真和分析軟件)

Remcom XFDTD XF7 7.3.0.3 Win64 1CD

 

CST產品:

CST STUDIO SUITE 2018 Win32_64-ISO 1DVD

CST STUDIO SUITE 2016 SP1 Win32_64-ISO 1DVD

CST Studio Suite 2016 SP6 Update Only 1CD

CST.Studio.Suite.v2015.00.Win32_64-ISO 1DVD

CST Studio Suite 2015 SP6 Update Only 1CD

CST.Studio.Suite.v2014.Win32_64-ISO 1DVD

CST.Studio.Suite.v2014.SP6.Update.Only 1CD

CST.Studio.Suite.v2012.With.SP5.WinALL 1DVD

CST Studio Suite 2012 SP8 Update Only 1CD

CST Studio Suite 2012 SP7 Update Only 1CD

CST Studio Suite 2012 SP6 Update Only 1CD

CST.Studio.Suite.v2008.Linux.DVD-ISO 1DVD(完整版-全模塊電磁仿真軟件)

CST Microwave Studio v5.1.3-ISO 1CD(電磁仿真)

CST Design Studio v3.0 1CD(與CST Microwave Studio配套使用的設計數據/流程管理工具,用來支持與其他工具

交換數據以及分割設計數據和程序庫化等作業)

CST.MicroStripes.2009.v8.0 1CD

CST.MicroStripes.2009.v8.0.x64 1CD

CST Em Studio v2.0 1CD(低頻電磁場的分析和設計軟件)

CST Mafia v4.1 1CD

 

APLAC SOLUTIONS產品:

PCselCAD v10.03 中文版-ISO 1CD(帶正版數據庫,機電-電氣CAD繪圖軟件)

PC|SCHEMATIC Automation 19.0.2.72 1CD(專業電氣繪圖軟件)

PCschematic ELautomation v9.0.6 正式免狗中文版 1CD(帶正版數據庫壓縮包)

PCschematic ELautomation v9.0 1CD(英文版)

PCschematic (施耐德)元件庫

PCschematic 完整教程

PL7 Pro v4.4 1CD

Schneider Electric SoMachine 4.1 SP1.2 Win64 1DVD(一款集成Vijeo-Designer軟件的開放、高效的專業軟件解決方案)

Schneider Electric SoMachine v4.1.0 Win32_64 1DVD

Schneider-Electric.Unity.Pro.XL.v7.0-ISO 1DVD(含簡體中文版)

Schneider Electric Vijeo Citect v7.40 SP1 1DVD

Schneider Electric Vijeo Desiner v4.6-ISO 1CD(含簡體中文版)

Sepam SFT2841 v10.0 1CD

 

IAR產品:

IAR EWAVR v5.3.02-ISO 1CD

IAR Embedded Workbench for 78K v4.81 1CD

IAR.Embedded.Workbench.for.8051.v10.20.1.Full 1CD

IAR.Embedded.Workbench.for.8051.MSC-51.v7.20D(嵌入式開發環境,包括編輯、編譯、連接、調試軟件,主要支持8到16位處理器)

IAR.EW430.320A 1CD(嵌入式工作臺)

IAR.Embedded.Workbench.for.68HC12.v2.44A

IAR Embedded Workbench for ARM 8.30-ISO 1DVD

IAR.Embedded.Workbench.for.Atmel.AVR.v5.50.1 Full 1CD

IAR.Embedded.Workbench.for.Atmel.AVR32.v4.30F-ISO

IAR.Embedded.Workbench.for.Atmel.EWAVR.v4.20C.Full

IAR.Embedded.Workbench.for.Arm.Ewarm.v3.11A-ISO

IAR Embedded Workbench for AVR v6.80.8.Full 1CD

IAR Embedded Workbench for AVR32 v3.31.3 1CD

IAR.Embedded.Workbench.for.CR16C.v3.30

IAR.Embedded.Workbench.for.Dallas.Semiconductor.Maxim.MAXQ.v1.13C

IAR.Embedded.Workbench.for.Dspic.v1.30A(整套的嵌入式開發環境,包括編輯、編譯、連接、調試軟件,主要支持8到16位處理器)

IAR Embedded Workbench for Freescale Coldfire v1.23.1 1CD

IAR.Embedded.Workbench.for.Freescale.HCS12.v3.20.2 1CD

IAR Embedded Workbench for Freescale HCS08 v1.20.2 1CD

IAR.Embedded.Workbench.for.H8.v1.53I

IAR Embedded Workbench for HCS12 v4.10.1 1CD

IAR Embedded Workbench for M16C & R8C v3.70.1 1CD

IAR.Embedded.Workbench.for.MAXQ.v2.30.1

IAR.Embedded.Workbench.for.MCS-51.v7.21A

IAR.Embedded.Workbench.for.Mitsubishi.740.v2.16A

IAR.Embedded.Workbench.for.MK5.v1.24A

IAR.Embedded.Workbench.for.MSP430.v7.12.1 1DVD

IAR.Embedded.Workbench.for.NEC.v850.v3.30

IAR.Embedded.Workbench.for.NEC.78K.v4.40A

IAR Embedded Workbench for National Semiconductor CR16C v3.10.1 1CD

IAR Embedded Workbench for Microchip PIC18 v3.10

IAR.Embedded.Workbench.for.PICmicro.v2.21A

IAR Embedded Workbench for R32C v1.40.2 1CD

IAR Embedded Workbench for Renesas 32C v3.30.1 1CD

IAR Embedded Workbench for Renesas 78K v4.71.2 1CD

IAR.Embedded.Workbench.for.Renesas.H8.v2.10A

IAR.Embedded.Workbench.for.Renesas.M16C/R8C.v3.50.6 1CD

IAR.Embedded.Workbench.Pro.for.Renesas.Mitsubishi.M16C.EWPM16C.v2.12A.FULL

IAR.Embedded.Workbench.for.Renesas.M32C.v3.30.1 1CD

IAR.Embedded.Workbench.Pro.for.Renesas.Mitsubishi.M32C.v2.11A.FULL

IAR Embedded Workbench for Renesas R32C v1.31.1 1CD

IAR Embedded Workbench for Renesas RX v3.10.1 1CD

IAR Embedded Workbench for RL78 v3.10.1 Win32_64 1CD

IAR Embedded Workbench for RH850 v14.0.1 1CD

IAR Embedded Workbench for RX v3.10.1 1CD

IAR.Embedded.Workbench.for.Samsung.SAM8.v2.21A.FULL

IAR Embedded Workbench for STMicroelectronics STM8 1.40.1 1CD

IAR Embedded Workbench for SuperH 2.30 1CD

IAR Embedded Workbench for Renesas V850 v3.71.1 1CD

IAR Embedded Workbench for STM8 v3.10.1 1CD

IAR.Embedded.Workbench.for.TI.MSP430.v3.41A 1CD

IAR.Embedded.Workbench.for.TI.MSP430.EW430.v3.10A.FULL 1CD

IAR Embedded Workbench for V850 v4.20.1 1CD

IAR Embedded Workbench for ZiLOG eZ80 1.34A 1CD(C/C++編譯器和調試器)

IAR.Embedded.Workbench.for.ZiLOG.Z80.v4.06A Full

IAR Embedded Workbench Limited Edition for 6502

IAR.MakeApp.for.Buletooch.Protocol.Stack.Embedded.Edition.v1.40A.FULL

IAR.Embedded.Workbench.AVR.v2.27B

IAR.PowerPac.for.ARM.v2.32.2 1CD(具有豐富功能的實時嵌入式操作系統(RTOS),並包含一個高性能的文件管理系統)

IAR PowerPac Base for ARM v2.40.2-ISO 1CD

IAR PowerPac GUI Basic for ARM v2.40.2-ISO 1CD

IAR PowerPac GUI Professional for ARM v2.40.2-ISO 1CD

IAR PowerPac TCP/IP Base for ARM v2.40.2-ISO 1CD

IAR PowerPac USB Device for ARM v2.40.2-ISO 1CD

IAR PowerPac USB Host for ARM v2.40.2-ISO 1CD

IAR AVR C-SPY ROM-Monitor Debugger v5.40.1 1CD

IAR VisualState v6.3.2 1CD(圖形化狀態機設計工具, 它能為嵌入式系統產生非常緊湊的c代碼)

IAR中文使用手冊

FlowCode Pro 7.1.1.0 1CD

FlowCode for PIC v4.3.6.61 1CD

Flowcode.for.ARM.v4.3.8.64 1CD

Flowcode for AVR v4.3.6.61 1CD

Renesas.Nc30WA.v5.30.R02.Final

 

RA產品:

Rowley.Associates.CrossWorks.for.ARM.v1.5.Build.2 1CD

Rowley.Associates.CrossWorks.for.AVR.v2.0 1CD

Rowley.Associates.CrossWorks.for.MAXQ.v2.0 1CD

Rowley.Associates.CrossWorks.for.MSP430.v2.0 1CD

 

NASSDA CORP.產品:

Nassda.Critic.v5.0.01.2005 1CD(一款EDA工具,這是Windows版本)

Nassda.Critic.v5.0.01.2005.Linux 1CD(這是Linux版本)

Nassda.Hanex.v5.0.01.2005 1CD(業界領先的電路級時序與串擾分析工具,這是Windows版本)

Nassda.Hanex.v5.0.01.2005.Linux 1CD(這是Linux版本)

Nassda.Hsim.v5.0.01.2005 1CD(全球第一個滿足深亞微米設計需求的全電路、層次化的晶體管級仿真器,這是Windows版本)

Nassda.Hsim.v5.0.01.2005.Linux 1CD(這是Linux版本)

 

TimingDesigner.v9.2 1CD(時域分析和圖示工具)

TimingDesigner.v9.2 Linux 1CD

TimingDesigner.v9.2 Solaris 1CD

 

Precience產品:

PCB Navigator 5.1 1CD

 

SCHMID & PARTNER ENGINEERING AG產品:

SemCAD v13.4 1CD(SEMCAD 為復雜環境的近場分析提供了高端電磁模擬平臺,可為電磁場的IIS/IT‘IS 研究提供支持和幫助,

同時可幫助在芯片級的EMC/EMI和EM 模擬,天線設計等,SEMCAD用戶界面友好、強大(基於ACIS3D模型工具包)

 

Syncopation.Software產品:

DPL.Fault.Tree.v6.03.03 1CD(人工智能分枝決策樹技術應用軟件,核電站的安全保證分析,

衛星發射裝置的可靠性分析, 計算機網絡的安全性分析等)

DPL.Professional.v6.03.02 1CD(人工智能分枝決策樹技術應用軟件,增加更多的功能與分析模塊, 如策略樹建模, 時間系列分析等)

 

Telemagic.AB產品:

Telelogic.Rhapsody.v8.04.Win32_64 2DVD

Telelogic.Rhapsody.v7.4.Windows-ISO 1CD(嵌入式仿真開發)

Telelogic.Rhapsody.v7.2.Linux-ISO 1CD

Telelogic.Rhapsody.v7.2.Documentation-ISO1CD

Telelogic.Doors.v7.1 1CD(需求管理軟件)

Telelogic.Rhapsody.Adapters.v7.1.WiNNT2K 1CD

Telelogic.Rhapsody.Cygwin.Adapter.v7.0 1CD

Telelogic.Rhapsody.Gateway.v1.4.WiNNT2K 1CD

Telelogic.Rhapsody.Integrity.Adapter.v7.0 1CD

Telelogic.Rhapsody.Nucleus.C.Adapter.v7.0 1CD

Telelogic.Rhapsody.Nucleus.C.Plus.Plus.Adapter.v7.0 1CD

Telelogic.Rhapsody.Reporter.Plus.v7.0 1CD

Telelogic.Rhapsody.Sodius.Toolkit.v7.1.WiNNT2K 1CD

Telelogic.Rhapsody.VxWorks.Adapter.v7.0 1CD

Sodius.Rhapsody.RulesComposer.v7.0.24 1CD

Telelogic.TAU.Generation2.v2.4-ISO 1CD(可視化系統、軟件開發和測試工具環境)

Telelogic.TAU.Generation2.v2.4.SP1-ISO 1CD

Telelogic.Rhapsody.OSC.Tools.v7.1.WiNNT2K 1CD

OSC.Automatic.Test.Generation.v3.1.356.for.Rhapsody.7.0 1CD

OSCTest.Conductor.v1.7.421.for.Rhapsody.7.0 1CD

Sodius.XMI.toolkit.for.Rhapsody.v7.0.13 1CD

I-Logix.Statemate.v4.1-ISO 1CD(面向功能需求的系統級自動設計軟件包)

 

HOMER Energy LLC產品:

HOMER Pro 3.11.2 Win64 1CD(世界領先的微電網建模軟件)

 

Mentor.Graphics.Questa.VIP.v10.6.Windows.&.Linux 2CD