1. 程式人生 > >VHDL實現4線-16線譯碼器

VHDL實現4線-16線譯碼器

原始碼:

library ieee;
use ieee.std_logic_1164.all;

entity decoder4_16 is
	port(i: in std_logic_vector(3 downto 0);
		y: out std_logic_vector(15 downto 0));
end decoder4_16;

architecture func of decoder4_16 is
begin 
	process(i)
	begin
	y <= "0000000000000000";
	case i is
	when "0000" => y(0) <= '1';
	when "0001" => y(1) <= '1';
	when "0010" => y(2) <= '1';
	when "0011" => y(3) <= '1';
	when "0100" => y(4) <= '1';
	when "0101" => y(5) <= '1';
	when "0110" => y(6) <= '1';
	when "0111" => y(7) <= '1';
	when "1000" => y(8) <= '1';
	when "1001" => y(9) <= '1';
	when "1010" => y(10) <= '1';
	when "1011" => y(11) <= '1';
	when "1100" => y(12) <= '1';
	when "1101" => y(13) <= '1';
	when "1110" => y(14) <= '1';
	when "1111" => y(15) <= '1';
	when others => y <= "0000000000000000";
	end case;
	end process;
end architecture;

功能模擬:


相關推薦

VHDL實現4-16譯碼

原始碼: library ieee; use ieee.std_logic_1164.all; entity decoder4_16 is port(i: in std_logic_vector(

基於VHDL的層次化設計:非同步清零和同步使能4位十六進位制加法計數器和七段顯示譯碼的元件例化實現

-----------------------------------------------------------------------------------------------方案一--------------------------------------

Verilog 程式設計實驗(5)-3-8譯碼的設計與實現

3-8線譯碼器真值表: Implementation part: module Decoder38(data_in,data_out,enable); input [2:0] dat

2-4譯碼

//2-4線譯碼器 module cy4(input[1:0] A,//輸入埠宣告 input E,//輸入埠宣告 output reg[3:0]Y//輸出埠宣告 ); always @(A,E)

程中sleep和wait的區別,以及多程的實現方式及原因,定時--Timer

守護 驗證 取消 技術 方法 代碼 安全 接口 art 1. Java中sleep和wait的區別 ① 這兩個方法來自不同的類分別是,sleep來自Thread類,和wait來自Object類。 sleep是Thread的靜態類方法,誰調用的誰去睡覺,即使在a線程裏調用b

實驗1:拼接 4-16譯碼

用2片3-8 譯碼器拼接成4-16 譯碼器 模擬驗證電路的正確性 注意觀察輸出訊號的毛刺(競爭冒險) 新的改變 我們對Markdown編輯器進行了一些功能拓展與語法支援,除了標準的Markdown編輯器功能,我們增加了如下幾點新功能,幫助你用它寫部落格: 全

FPGA通過SPI對ADC配置簡介(四)-------Verilog實現4SPI配置

第二篇以德州儀器(TI)的高速ADC晶片——ads52j90為例,介紹完了 4線SPI配置時序。本篇將以該晶片SPI結構為例,具體介紹如何利用verilog 實現4線SPI配置時序。 無論實現讀還是寫功能,都先要提供SCLK。假如FPGA系統工作時鐘40

兩片74ls138組成4/16譯碼-20151030

用兩片74LS138組合成4/16譯碼器如圖真值表對應如下: 輸入 輸出 D0 D1 D2 D3 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 Y8 Y9 Y10 Y11 Y12 Y13 Y14

38譯碼74HC138&閘電路設計一位二進位制全減電路

  74HC138&閘電路設計一位全減器電路          這個是數電課本上的一個習題   (上課效率基本為0  所以只能下課 自己學點   所有的課程都是 這樣  )剛明白這個題目

基於proteus的51微控制器模擬例項七十四、4-16譯碼74HC154應用例項

1、本例通過4-16譯碼器74HC154實現用4個IO口控制16個LED迴圈點亮 2、在keil c51中新建工程ex62,編寫如下程式程式碼,編譯並生成ex62.hex檔案 //4-16線譯

java activiti5 在web流程設計整合視頻教程 SSM和獨立部署

activiti 工作流 web流程設計器 ssm activiti工作流 本視頻為activiti工作流的web流程設計器整合視頻教程整合Acitiviti在線流程設計器(Activiti-Modeler 5.21.0 官方流程設計器)本視頻共講了兩種整合方式1. 流程設計器和其它工作流

python開發程:程&守護程&全局解釋

效果 args threads man 安全 mutex view 主線程 mem 一 threading模塊介紹 multiprocess模塊的完全模仿了threading模塊的接口,二者在使用層面,有很大的相似性,因而不再詳細介紹 官網鏈接:https://docs.p

Activiti-master java activiti5 在web流程設計畫布整合視頻教程

工作流 ssm activiti web流程設計器 activiti工作流 本視頻為activiti工作流的web流程設計器整合視頻教程整合Acitiviti在線流程設計器(Activiti-Modeler 5.21.0 官方流程設計器)本視頻共講了兩種整合方式1. 流程設計器和其它工作流

4章系統穩定性4.1在日誌分析

時間排序 txt ash 可執行 字符串 awk -c 歸檔 行數 cat -n access.log -n打印行號 more access.log 空格-下一頁、回車-下一行、F-下一屏,百分比的下一個、B-上一屏 less access.log /查

關於在文本編輯防XSS註入攻擊問題

使用 行處理 som 註入攻擊 .get str 代碼 自動 這樣的 跨站腳本攻擊,又稱XSS代碼攻擊,也是一種常見的腳本註入攻擊。例如在下面的界面上,很多輸入框是可以隨意輸入內容的,特別是一些文本編輯框裏面,可以輸入例如<script>alert(‘這是一個頁

Office 365實現多人在編輯同一個文檔(下)

雲計算 雲服務 接下來我要實現多人編輯同一個Excel文件,這裏以Source.xlsx為例,右鍵選擇共享 設置共享權限以及共享給誰 權限有如下,如果是發送給別人看,就選擇任何人(可向收到此鏈接的任何人授予訪問權限,無論他們是直接收到你的鏈接到還是收到其他人轉發的鏈接。這可能包括組織外部的人員),

Office 365實現多人在編輯同一個文檔(中)

雲計算 雲服務 我編輯下這個Excel錄入一些數據,如下 我點擊文件保存,可以選擇直接保存到OneDrive也可以選擇保存到本地計算機上,這裏我選擇保存到OneDrive裏 輸入文件名稱點擊保存 如果要保存到本地計算機位置,請點擊“這臺電腦” 保存好以後,可以在網頁版中登錄自己的Office

Vue+Websocket實現多人在王者飛機(一)

Vue requestAnimationFra 飛機大戰 WebSocket 看了Vue官方教程(貌似和自己寫的框架差別不大,聽前前端同事一直吹Vue,於是學習了一下,和自己寫的框架好像也沒強哪裏去嘛,就是要傲嬌哈哈),等有空也整理自己的框架,開源好了),想找個項目練練手(沒找到好的),就寫個

程&定時Timer&同步&程通信&ThreadLocal

技術分享 mar ribbon ++ AI ring 線程組 com fast 1.多線程 線程狀態分為:新建狀態、就緒狀態、運行狀態、阻塞狀態、死亡狀態 對象等待池的阻塞狀態:運行狀態執行了wait方法 對向鎖池的阻塞狀態:試圖獲得某個同步

4.自定義

可變 std AC char pan emp template 定義 [] 1 #include <iostream> 2 #include <thread> 3 #include <cstdlib> 4 #include &l