1. 程式人生 > >單端、差分、偽差分輸入

單端、差分、偽差分輸入

單端訊號:

單端訊號(single-end)是相對於差分訊號而言的,單端輸入指訊號有一個參考端和一個訊號端構成,參考端一般為地端。

 

ADC單端輸入

比如說UART232串列埠中,傳送端TXD,接收端RXD,參考端是地,GND,是典型的單端訊號輸入輸出。

單端輸入時,是判斷訊號與 地的電壓差。

 

RS232單端訊號介面示意圖

差分訊號:

差分(Differential)是將單端訊號進行差分變換,輸出兩個訊號,一個和原訊號同相,一個和原訊號反相。差分訊號有較強的抗共模干擾能力,適合較長距離傳輸,單端訊號則沒有這個功能。

差分輸入時,是判斷兩訊號線的電壓差。

差分訊號波形圖

訊號受干擾時 ,差分的兩根線會同時受到影響,但電壓差變化不大。而單端輸入的一線變化時,GND不變,所以電壓差變化較大。

差分訊號和普通的單端訊號走線相比,最明顯的優勢體現在一下三個方面:

    1. 抗干擾能力強,因為兩根差分走線之間的耦合很好(最好相鄰佈線),當外界存在噪聲干擾時,幾乎是同時被耦合到兩條線上,而接收端關心的只是兩訊號的差值,所以外界的共模噪聲可以被完全抵消。

    2. 能有效抑制EMI,同樣的道理,由於兩根訊號的極性相反,他們對外輻射的電磁場可以相互抵消,耦合的越緊密,洩放到外界的電磁能量越少。

    3. 時序定位精確,由於差分訊號的開關變化是位於兩個訊號的交點,而不像普通單端訊號依靠高低兩個閾值電壓判斷,因而受工藝,溫度的影響小,能降低時序上的誤差,同時也更適合於低幅度訊號的電路。目前流行的LVDS(lowvoltagedifferentialsignaling)就是指這種小振幅差分訊號技術。

單端轉差分:

RS485電路圖,RS232與RS485之間的轉換。485-A與485-B是差分輸入輸出對,485-RX為單端輸出,485-TX為單端輸入,485-DIR為方向控制,實現半雙工通訊。

上圖為典型全差分電路,所謂全差分即輸入和輸出均為差分的電路。上圖所示為全差分運放電路。

ADC差分輸入

偽差分輸入:

ADC偽差分輸入

為了既有差分輸入的優點又有單端輸入簡單的優點,還有一種偽差分輸入,通過把訊號地連到ADCIN-端實現一種類似差分的連線。

偽差分(Pseudo-differential)訊號連線方式減小了噪聲,並允許在儀器放大器的共模電壓範圍內與浮動訊號連線.在偽差分模式下,訊號與輸入的正端連線,訊號的參考地與輸入的負端連線。偽差分輸入減小了訊號源與裝置的參考地電位(地環流)不同所造成的影響,這提高了測量的精度。

偽差分輸入與差分輸入在減小地環流和噪聲方面是非常相似的,不同的方面在於,差分輸入模式下,負端輸入是隨時間變化的,而在偽差分模式下,負端輸入一定僅僅是一個參考。描述偽差分的另外一種方式就是,輸入僅僅在打破地的環流這個意義上是差分的,而參考訊號(負端輸入)不是作為傳遞訊號的,而僅僅是為訊號(正端輸入)提供一個直流參考點。

相關問題:

    • 偽差分輸入能有效抑制共模噪聲嗎?

能部分抑制。由於兩線對“大地”阻抗不一致,所以抑制效果有限。

    • 偽差分輸入與差分輸入相比有哪些優缺點?

既然是“偽裝”的,原則上沒有優點只有缺點。其缺點就是兩線不對稱,共模抑制效果有限。硬要湊一個優點的話,就是可以勉強將單端輸出訊號偽裝成差分,效果比完全單端連線效果稍好一點(解決兩端地的小範圍浮動)。