1. 程式人生 > >FPGA series # vivado IP Integrator之新增module

FPGA series # vivado IP Integrator之新增module

第一記
今兒由於上板連顯示屏後顯示畫面與之前並無二致,所以師父特的提醒一些操作是否正確。有些內容其實是之前說過的,但是我操作的時候給忘了,甚感羞愧。。。
正題。工程是完整的工程,原工程版本是2017.2,現用vivado2017.4。我只是在工程程式碼中加自己寫的小小的gamma矯正模組,後師父說把該模組加在IP Integrator中。所以出現以下操作,現記錄正確操作。在開啟linux_ov5640.xpr後,顯示如圖:0
選擇如圖。進入後找到Report IP Status:
1
看到IP Status視窗下的IP核顯示均為紅色:
2
開啟IP Catalog,匯入IP3
新增安裝路徑下的C:\Xilinx\SDx\2017.4\data\ip\xilinx4


在IP Status內選擇upgrate selected56
這裡師父是順著預設選項點選OK的,具體這兩有啥差別我也不知道,待研究。
7
再檢視IP Status就可以了,如果還不行的話可以嘗試Rerun.8
到這裡都只是調整好了IP Status。記,以後每次開啟這個工程的時候都需注意IP的版本問題,其實師父之前有說過這個問題,但是後來整著整著就忘了。。。忘了。。。
在該工程中,我要做的是把自己寫的小模組加進去,這裡是block design內新增module的方式。先在design source中add source file,此操作不再贅述。然後open block design,在diagram的框框裡右鍵,選擇add module。13

add module是最簡單的方法,也可add IP,但要先把程式碼封裝成IP,較為麻煩一點。
這裡的RAM_gamma就是自己寫的小模組,選擇新增。9連線到要連線的兩個模組之間,牽線即可。(只要介面寫的對應正確,這裡應該沒啥問題)時鐘復位啥的找對線,接好。右鍵, regenerate layout。
接著第一步:validate design
10
第二步:左上角save block design11
第三步:generate blockdesign
:12
至此完成新增。
另:為在上板驗證時知道copy進sdcard的.bit檔案是這次生成的,可在程式碼中更改一項四位二進位制數值
assign leds_4bits_tri_o = 4'b0100;

此為PL端四個LED燈滅亮對應的01。

相關推薦

FPGA series # vivado IP Integrator新增module

第一記 今兒由於上板連顯示屏後顯示畫面與之前並無二致,所以師父特的提醒一些操作是否正確。有些內容其實是之前說過的,但是我操作的時候給忘了,甚感羞愧。。。 正題。工程是完整的工程,原工程版本是2017.2,現用vivado2017.4。我只是在工程程式碼中加自己寫

FPGA series # vivado IP integrator新增system ila核

加班加班加班,啥都想學但似乎啥都學不好,差的太多了。 簡單地總結一下。 照樣,open block design,右鍵,選擇add IP,輸入ila 這裡選擇system ILA,框框內生成一個IP核 雙擊 monitor type選擇interface(各種匯

FPGA series # vivado新增switch和VIO核

今天在之前程式碼的基礎上,把兩組置0的高位資料也通過模組跑了一遍,出來效果還可以看。順手添加了個開關,當switch置0時不經過gamma模組,置1時經過。 assign addrb_r = s_axis_gamma_tdata[23 : 16] ; as

Kong 系列【六】新增外掛---ip-restriction黑白名單

寫在前邊 本地postMan請求http://192.168.130.131:8000/test-route,可以正常訪問,本地IP:192.168.130.1 同樣在虛擬機器環境192.168.130.129也可以正常訪問。 新增ip-restriction外掛 將129

Fiddler小技巧新增請求耗時/IP/Method列

      新增列的方法,網上可以查到,這裡整理下。       請求耗時:       直接加在Handlers{ 後面 public static BindUIColumn(

IP初——FIFO

規範 初學者 傳輸 分享 png 初學 架構 人的 內部   本文設計思路源自明德揚至簡設計法。在之前的幾篇博文中,由於設計比較簡單,所有的功能都是用verilogHDL代碼編寫實現的。我們要學會站在巨人的肩膀上,這時候就該IP核登場了!   說白了,IP核就是別人做好了的

pythonOS.module;building_in_module

pythonos.getcwd() 獲取當前工作目錄,即當前python腳本工作的目錄路徑os.chdir("dirname") 改變當前腳本工作目錄;相當於shell下cdos.curdir 返回當前目錄: ('.')os.pardir 獲取當前目錄的父目錄字符串名

002::每天五分鐘入門TCP/IP協議棧::IP協議IP首部長度問題

IP 首部 首部長度 事出反常必有妖,邪乎到家必有鬼。 整個TCP/IP協議中,IP協議是最核心的協議。 IP協議是不可靠的、無連接的服務。 何為不可靠?不能保證IP數據報能夠成功到達目的地,傳輸的可靠×××給傳輸層或應用層去實現。 何為無連接?IP並不維護任何關於後續數據報的狀態信息。 進入正題

003::每天五分鐘入門TCP/IP協議棧::IP協議TOS字段說明

IP首部 ToS服務類型 從IP首部看ToS的位置:ToS即為服務類型,只有當網絡設備能夠支持(能夠識別IP首部中的ToS字段)識別ToS字段時,這給字段設置才有意義。否則都是空談。 先說具體字段的意義:Tos字段長度為8bit前3bit字段:為優選權子字段,現在已經廢棄,這個字段默認值是000,從w

004::每天五分鐘入門TCP/IP協議棧::IP協議16位總長度字段引出的MTU值問題

IP首部 MTU 數據封裝 要理解MTU以及實際生產環境中的MTU問題,就得搞清楚三個問題:IP數據報包含什麽內容;數據進入協議棧的封裝過程;MTU具體代表含義; 首先要理解一個過程:數據進入協議棧的封裝過程!數據從發送主機發送出去之前,在主機的協議棧中會經歷上述圖中的幾個封裝過程。本次以TCP

[轉]Vivado IP核生成設置

with edi exp doc 一個 設置 輸入輸出 一起 生成 vivado中design with ip相比於ise感覺還是改進了。 首先我們簡單介紹一下vivado中design with ip的設計過程: (1)打開IP Catlog定制

IP初——FIFO添加以太網MAC頭部

準備 說明 bar 成了 別人 實現 mac地址 第一個 scale 說白了,IP核就是別人做好了的硬件模塊,提供完整的用戶接口和說明文檔,更復雜的還有示例工程,你只要能用好這個IP核,設計已經完成一半了。說起來容易,從冗長的英文文檔和網上各個非標準教程中汲取所需,並靈活運

一步一步開始FPGA邏輯設計 - 高速介面PCIe(轉)

reference: https://blog.csdn.net/jackxu8/article/details/53288385   這篇文章主要針對Xilinx家V6和K7兩個系列的PFGA,在Linux和Windows兩種系統平臺下,基於Xilinx的參考案例XAPP1052的基

009-Ambari二次開發新增自定義元件Redis(二)

上一篇我們主要介紹了Ambari新增元件的答題流程並以REDIS為例說明了流程,本篇在上一篇的基礎上,進一步完善說明流程並介紹如何給元件新增metric 掃描二維碼,關注BearData,獲取最新文章 上篇中,我們已經制作出了redis的rpm包,並重新編譯了我們修改後的Ambar

008-Ambari二次開發新增自定義元件Redis(一)

Ambari目前支援的元件有HDFS、YARN、HBase、Hive、Pig、ZooKeeper、Sqoop、Storm、Flume、Tez、Oozie、Falcon、Storm、Altas、Knox、Spark、Ranger、Mahout、Kerberos等,已經涵蓋了從大資料應用的

車機開發新增自定義鍵

S700平臺即安卓5.1.1系統新增額外的自定義鍵值按鍵: 在Android的原生系統中鍵值預設情況下是92個,從0-91;一般情況下,這些鍵值是夠用的,但是如果想擴充的話,還是需要新增新的鍵值的;像我們做車機專案的,新增新按鍵鍵值太易見了,比如將倒車檢測做成新自定義鍵值按鍵,我們需要到多個

StringBuffer 的功能新增和刪除 StringBuilder

1.StringBuffer 是字串緩衝區,是一個容器。是一個執行緒安全的可變序列 2.功能 CURD create update read delete 特點:(當資料型別不確定,最後都要變成字串的時候) 1.長度是可以變化的, 2.可以一次操作多個數據型別 3.最終可以通過toStrin

Rocket新增指令

目錄 準備工作 Rocket Chip 原始碼 新增指令 譯碼訊號 ALU 工具鏈 opcodes 新增指令 spike支援 編譯 測試 C原始

LeetCode新增二進位制

給定兩個二進位制字串,返回它們的總和(也是二進位制字串)。 輸入字串都是非空的,只包含字元1或  0。 例1: 輸入: a =“11”,b =“1” 輸出: “100” 例2: 輸入: a =“1010”,b =“1011” 輸出: “10101” 大

本體融合新增空間方向關係

地理本體中空間關係主要分為:拓撲關係、方向關係和距離關係。 方向關係已有的模型有:基於圓錐和基於投影。基於圓錐的有四方向和八方向區域擴充套件模型。 基於投影模型,則和平面座標系類似。如圖所示 以地理實體點空間目標物件為例計算方向關係,如下所示: 將地理本體中計算得到的